Index of /alpine/edge/testing/x86_64/
../
3proxy-0.9.5-r1.apk 17-Nov-2025 13:11 383K
3proxy-doc-0.9.5-r1.apk 17-Nov-2025 13:11 26K
3proxy-openrc-0.9.5-r1.apk 17-Nov-2025 13:11 1735
3proxy-systemd-0.9.5-r1.apk 17-Nov-2025 13:11 1891
66-0.8.2.1-r0.apk 03-Jun-2025 19:11 293K
66-dbg-0.8.2.1-r0.apk 03-Jun-2025 19:11 757K
66-dev-0.8.2.1-r0.apk 03-Jun-2025 19:11 2M
66-doc-0.8.2.1-r0.apk 03-Jun-2025 19:11 190K
66-init-0.8.2.1-r0.apk 03-Jun-2025 19:11 1576
66-tools-0.1.2.0-r0.apk 02-Jun-2025 03:03 55K
66-tools-dbg-0.1.2.0-r0.apk 02-Jun-2025 03:03 107K
66-tools-dev-0.1.2.0-r0.apk 02-Jun-2025 03:03 2056
66-tools-doc-0.1.2.0-r0.apk 02-Jun-2025 03:03 39K
66-tools-nsrules-0.1.2.0-r0.apk 02-Jun-2025 03:03 2924
81voltd-1.1.0-r0.apk 11-Jan-2026 18:32 12K
81voltd-doc-1.1.0-r0.apk 11-Jan-2026 18:32 2296
81voltd-openrc-1.1.0-r0.apk 11-Jan-2026 18:32 1785
81voltd-systemd-1.1.0-r0.apk 11-Jan-2026 18:32 1786
9base-6-r2.apk 25-Oct-2024 18:59 2M
9base-doc-6-r2.apk 25-Oct-2024 18:59 64K
9base-troff-6-r2.apk 25-Oct-2024 18:59 485K
APKINDEX.tar.gz 30-Jan-2026 01:43 727K
a2jmidid-9-r3.apk 25-Oct-2024 18:59 29K
a2jmidid-doc-9-r3.apk 25-Oct-2024 18:59 4287
ab-tidy-0.1.0-r2.apk 23-Feb-2025 20:55 80K
abc-0_git20240102-r0.apk 25-Oct-2024 18:59 5M
abnfgen-0.21-r0.apk 27-May-2025 21:26 18K
abnfgen-doc-0.21-r0.apk 27-May-2025 21:26 5193
ace-of-penguins-1.4-r3.apk 25-Oct-2024 18:59 159K
ace-of-penguins-doc-1.4-r3.apk 25-Oct-2024 18:59 49K
acmeleaf-0.2.2-r0.apk 27-Jan-2026 13:30 5M
acmeleaf-doc-0.2.2-r0.apk 27-Jan-2026 13:30 5452
acmetool-0.2.2-r19.apk 17-Jan-2026 22:42 5M
acmetool-doc-0.2.2-r19.apk 17-Jan-2026 22:42 47K
adbd-0_git20251009-r1.apk 14-Jan-2026 05:56 42K
adguardhome-0.107.71-r1.apk 17-Jan-2026 22:42 12M
adguardhome-openrc-0.107.71-r1.apk 17-Jan-2026 22:42 2241
adjtimex-1.29-r0.apk 25-Oct-2024 18:59 19K
adjtimex-doc-1.29-r0.apk 25-Oct-2024 18:59 7258
admesh-0.98.5-r0.apk 25-Oct-2024 18:59 26K
admesh-dev-0.98.5-r0.apk 25-Oct-2024 18:59 4140
admesh-doc-0.98.5-r0.apk 25-Oct-2024 18:59 23K
advancemame-3.9-r4.apk 25-Oct-2024 18:59 12M
advancemame-data-3.9-r4.apk 25-Oct-2024 18:59 6M
advancemame-doc-3.9-r4.apk 25-Oct-2024 18:59 374K
advancemame-menu-3.9-r4.apk 25-Oct-2024 18:59 903K
advancemame-mess-3.9-r4.apk 25-Oct-2024 18:59 4M
advancescan-1.18-r1.apk 25-Oct-2024 18:59 268K
advancescan-doc-1.18-r1.apk 25-Oct-2024 18:59 7439
afetch-2.2.0-r1.apk 25-Oct-2024 18:59 9219
afetch-doc-2.2.0-r1.apk 25-Oct-2024 18:59 14K
afew-3.0.1-r0.apk 18-May-2025 22:30 73K
afew-doc-3.0.1-r0.apk 18-May-2025 22:30 12K
agate-3.3.19-r0.apk 23-Sep-2025 22:32 907K
agate-openrc-3.3.19-r0.apk 23-Sep-2025 22:32 2008
aggregate6-1.0.14-r0.apk 14-Oct-2025 11:05 7431
aggregate6-doc-1.0.14-r0.apk 14-Oct-2025 11:05 3005
aggregate6-pyc-1.0.14-r0.apk 14-Oct-2025 11:05 5951
agrep-0.8.0-r2.apk 25-Oct-2024 18:59 8530
agrep-doc-0.8.0-r2.apk 25-Oct-2024 18:59 4209
aide-0.19.2-r0.apk 06-Sep-2025 16:20 87K
aide-doc-0.19.2-r0.apk 06-Sep-2025 16:20 16K
airsonic-advanced-11.0.0_git20230217-r0.apk 25-Oct-2024 18:59 91M
airsonic-advanced-openrc-11.0.0_git20230217-r0.apk 25-Oct-2024 18:59 1850
alacritty-graphics-0.16.1-r0.apk 18-Dec-2025 00:02 2M
alacritty-graphics-bash-completion-0.16.1-r0.apk 18-Dec-2025 00:02 2833
alacritty-graphics-doc-0.16.1-r0.apk 18-Dec-2025 00:02 41K
alacritty-graphics-fish-completion-0.16.1-r0.apk 18-Dec-2025 00:02 3150
alacritty-graphics-zsh-completion-0.16.1-r0.apk 18-Dec-2025 00:02 3453
alda-2.3.2-r6.apk 17-Jan-2026 22:42 18M
alertmanager-irc-relay-0.5.1-r1.apk 06-Sep-2025 16:20 5M
alertmanager-irc-relay-openrc-0.5.1-r1.apk 06-Sep-2025 16:20 2034
alpine-lift-0.2.0-r29.apk 17-Jan-2026 22:42 4M
alps-0_git20230807-r18.apk 17-Jan-2026 22:42 6M
alps-openrc-0_git20230807-r18.apk 17-Jan-2026 22:42 2065
alttab-1.7.1-r0.apk 25-Oct-2024 18:59 37K
alttab-doc-1.7.1-r0.apk 25-Oct-2024 18:59 10K
amber-0.5.1_alpha-r0.apk 08-Jan-2026 15:48 892K
amber-mpris-1.2.9-r0.apk 22-Dec-2024 16:47 211K
amber-mpris-dev-1.2.9-r0.apk 22-Dec-2024 16:47 6882
amdgpu-fan-0.1.0-r5.apk 25-Oct-2024 18:59 14K
amdgpu-fan-pyc-0.1.0-r5.apk 25-Oct-2024 18:59 9843
amdgpu_top-0.11.0-r0.apk 03-Sep-2025 00:47 7M
amdgpu_top-doc-0.11.0-r0.apk 03-Sep-2025 00:47 4077
amiitool-2-r2.apk 25-Oct-2024 18:59 7988
ampy-1.1.0-r6.apk 21-Mar-2025 16:20 15K
ampy-doc-1.1.0-r6.apk 21-Mar-2025 16:20 4196
ampy-pyc-1.1.0-r6.apk 21-Mar-2025 16:20 19K
amule-2.3.3-r13.apk 25-Oct-2024 18:59 4M
amule-doc-2.3.3-r13.apk 25-Oct-2024 18:59 281K
amule-lang-2.3.3-r13.apk 25-Oct-2024 18:59 2M
anarch-1.0-r1.apk 25-Oct-2024 18:59 92K
anarch-doc-1.0-r1.apk 25-Oct-2024 18:59 18K
anari-sdk-0.7.2-r0.apk 25-Oct-2024 18:59 289K
anari-sdk-dev-0.7.2-r0.apk 25-Oct-2024 18:59 59K
anari-sdk-static-0.7.2-r0.apk 25-Oct-2024 18:59 181K
android-apkeep-0.17.0-r0.apk 25-Oct-2024 18:59 2M
android-apktool-2.12.1-r0.apk 14-Oct-2025 14:53 24M
android-build-tools-15.0-r1.apk 11-Dec-2025 22:04 2M
android-file-transfer-4.5-r0.apk 24-Jun-2025 23:02 194K
android-file-transfer-cli-4.5-r0.apk 24-Jun-2025 23:02 111K
android-file-transfer-dev-4.5-r0.apk 24-Jun-2025 23:02 1610
android-file-transfer-libs-4.5-r0.apk 24-Jun-2025 23:02 129K
android-translation-layer-0_git20260106-r0.apk 08-Jan-2026 12:10 3M
android-translation-layer-dbg-0_git20260106-r0.apk 08-Jan-2026 12:10 902K
angband-4.2.5-r0.apk 25-Oct-2024 18:59 23M
ansible-bender-0.10.1-r2.apk 25-Oct-2024 18:59 36K
ansible-bender-doc-0.10.1-r2.apk 25-Oct-2024 18:59 10K
ansible-bender-pyc-0.10.1-r2.apk 25-Oct-2024 18:59 65K
ansifilter-2.22-r0.apk 19-Jan-2026 17:09 65K
ansifilter-bash-completion-2.22-r0.apk 19-Jan-2026 17:09 2303
ansifilter-doc-2.22-r0.apk 19-Jan-2026 17:09 22K
ansifilter-fish-completion-2.22-r0.apk 19-Jan-2026 17:09 2512
ansifilter-zsh-completion-2.22-r0.apk 19-Jan-2026 17:09 2593
antibody-6.1.1-r34.apk 17-Jan-2026 22:42 2M
antimicrox-3.5.1-r0.apk 13-Jun-2025 13:55 2M
antimicrox-doc-3.5.1-r0.apk 13-Jun-2025 13:55 24K
aoetools-37-r2.apk 14-Jan-2025 15:29 21K
aoetools-doc-37-r2.apk 14-Jan-2025 15:29 14K
apache-mod-auth-gssapi-1.6.5-r1.apk 25-Oct-2024 18:59 60K
apache-mod-auth-openidc-2.4.16.11-r1.apk 22-May-2025 07:16 223K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk 22-May-2025 07:16 4554
apache-mod-auth-openidc-static-2.4.16.11-r1.apk 22-May-2025 07:16 290K
apache2-mod-authnz-external-3.3.3-r0.apk 25-Oct-2024 18:59 8351
apache2-mod-authnz-external-doc-3.3.3-r0.apk 25-Oct-2024 18:59 10K
apache2-mod-maxminddb-1.2.0-r0.apk 19-May-2025 10:23 11K
apache2-mod-perl-2.0.13-r2.apk 30-Jun-2025 08:08 657K
apache2-mod-perl-dbg-2.0.13-r2.apk 30-Jun-2025 08:08 62K
apache2-mod-perl-dev-2.0.13-r2.apk 30-Jun-2025 08:08 38K
apache2-mod-perl-doc-2.0.13-r2.apk 30-Jun-2025 08:08 304K
apache2-mod-realdoc-1-r1.apk 25-Oct-2024 18:59 4708
apk-autoupdate-0_git20210421-r1.apk 17-Nov-2024 12:51 13K
apk-autoupdate-doc-0_git20210421-r1.apk 17-Nov-2024 12:51 7123
apk-snap-3.1.1-r0.apk 25-Oct-2024 18:59 6806
apk-snap-doc-3.1.1-r0.apk 25-Oct-2024 18:59 20K
apmpkg-1.5.1-r3.apk 25-Oct-2024 18:59 2M
apmpkg-bash-completion-1.5.1-r3.apk 25-Oct-2024 18:59 2241
apmpkg-doc-1.5.1-r3.apk 25-Oct-2024 18:59 3123
apmpkg-fish-completion-1.5.1-r3.apk 25-Oct-2024 18:59 2115
apmpkg-zsh-completion-1.5.1-r3.apk 25-Oct-2024 18:59 2505
aports-glmr-0.2-r34.apk 17-Jan-2026 22:42 3M
apostrophe-3.4-r0.apk 30-Sep-2025 09:36 154K
apostrophe-lang-3.4-r0.apk 30-Sep-2025 09:36 227K
apostrophe-pyc-3.4-r0.apk 30-Sep-2025 09:36 153K
apostrophe-revealjs-5.2.1-r0.apk 03-Jul-2025 10:58 2M
appcenter-8.0.0-r0.apk 12-Nov-2024 21:55 406K
appcenter-lang-8.0.0-r0.apk 12-Nov-2024 21:55 258K
appimagetool-1.9.1-r1.apk 28-Jan-2026 08:30 37K
aprilsh-0.7.12-r11.apk 17-Jan-2026 22:42 1684
aprilsh-client-0.7.12-r11.apk 17-Jan-2026 22:42 3M
aprilsh-doc-0.7.12-r11.apk 17-Jan-2026 22:42 14K
aprilsh-openrc-0.7.12-r11.apk 17-Jan-2026 22:42 1872
aprilsh-server-0.7.12-r11.apk 17-Jan-2026 22:42 3M
apt-dater-1.0.4-r4.apk 25-Oct-2024 18:59 57K
apt-dater-doc-1.0.4-r4.apk 25-Oct-2024 18:59 10K
apt-dater-lang-1.0.4-r4.apk 25-Oct-2024 18:59 13K
apt-mirror-0.5.4-r0.apk 25-Oct-2024 18:59 9671
apt-mirror-doc-0.5.4-r0.apk 25-Oct-2024 18:59 4743
apt-swarm-0.5.1-r1.apk 12-Oct-2025 13:42 3M
apt-swarm-bash-completion-0.5.1-r1.apk 12-Oct-2025 13:42 4287
apt-swarm-fish-completion-0.5.1-r1.apk 12-Oct-2025 13:42 5875
apt-swarm-openrc-0.5.1-r1.apk 12-Oct-2025 13:42 1998
apt-swarm-zsh-completion-0.5.1-r1.apk 12-Oct-2025 13:42 6017
aptdec-1.8.0-r1.apk 08-Feb-2025 23:48 85K
aptdec-dev-1.8.0-r1.apk 08-Feb-2025 23:48 3510
aptdec-libs-1.8.0-r1.apk 08-Feb-2025 23:48 15K
apulse-0.1.14-r0.apk 06-Sep-2025 16:20 40K
apulse-doc-0.1.14-r0.apk 06-Sep-2025 16:20 3015
apx-3.0.1-r0.apk 22-Jan-2026 16:14 2M
apx-doc-3.0.1-r0.apk 22-Jan-2026 16:14 2023
aqemu-0.9.4-r3.apk 25-Oct-2024 18:59 2M
aqemu-doc-0.9.4-r3.apk 25-Oct-2024 18:59 7698
arahli-0.1.5-r0.apk 27-Jan-2026 15:10 1M
arahli-doc-0.1.5-r0.apk 27-Jan-2026 15:10 2734
aravis-0.8.31-r0.apk 25-Oct-2024 18:59 44K
aravis-dev-0.8.31-r0.apk 25-Oct-2024 18:59 34K
aravis-libs-0.8.31-r0.apk 25-Oct-2024 18:59 175K
aravis-viewer-0.8.31-r0.apk 25-Oct-2024 18:59 66K
aravis-viewer-lang-0.8.31-r0.apk 25-Oct-2024 18:59 16K
arc-20221218-r1.apk 21-Jan-2026 18:07 1777
arc-cinnamon-20221218-r1.apk 21-Jan-2026 18:07 67K
arc-dark-20221218-r1.apk 21-Jan-2026 18:07 1787
arc-dark-cinnamon-20221218-r1.apk 21-Jan-2026 18:07 67K
arc-dark-gnome-20221218-r1.apk 21-Jan-2026 18:07 26K
arc-dark-gtk3-20221218-r1.apk 21-Jan-2026 18:07 93K
arc-dark-gtk4-20221218-r1.apk 21-Jan-2026 18:07 86K
arc-dark-metacity-20221218-r1.apk 21-Jan-2026 18:07 17K
arc-dark-xfwm-20221218-r1.apk 21-Jan-2026 18:07 7400
arc-darker-20221218-r1.apk 21-Jan-2026 18:07 1789
arc-darker-gtk3-20221218-r1.apk 21-Jan-2026 18:07 124K
arc-darker-gtk4-20221218-r1.apk 21-Jan-2026 18:07 110K
arc-darker-metacity-20221218-r1.apk 21-Jan-2026 18:07 17K
arc-darker-xfwm-20221218-r1.apk 21-Jan-2026 18:07 7404
arc-gnome-20221218-r1.apk 21-Jan-2026 18:07 28K
arc-gtk3-20221218-r1.apk 21-Jan-2026 18:07 126K
arc-gtk4-20221218-r1.apk 21-Jan-2026 18:07 113K
arc-icon-theme-20161122-r0.apk 25-Oct-2024 18:59 4M
arc-lighter-20221218-r1.apk 21-Jan-2026 18:07 1791
arc-lighter-gtk3-20221218-r1.apk 21-Jan-2026 18:07 125K
arc-lighter-gtk4-20221218-r1.apk 21-Jan-2026 18:07 113K
arc-lighter-metacity-20221218-r1.apk 21-Jan-2026 18:07 17K
arc-lighter-xfwm-20221218-r1.apk 21-Jan-2026 18:07 7288
arc-metacity-20221218-r1.apk 21-Jan-2026 18:07 17K
arc-theme-20221218-r1.apk 21-Jan-2026 18:07 1284
arc-xfwm-20221218-r1.apk 21-Jan-2026 18:07 7277
arduino-cli-1.3.1-r3.apk 17-Jan-2026 22:42 9M
argocd-3.2.5-r0.apk 18-Jan-2026 18:19 44M
argocd-bash-completion-3.2.5-r0.apk 18-Jan-2026 18:19 22K
argocd-doc-3.2.5-r0.apk 18-Jan-2026 18:19 5638
argocd-zsh-completion-3.2.5-r0.apk 18-Jan-2026 18:19 4140
arj-0_git20220125-r1.apk 25-Oct-2024 18:59 127K
arj-doc-0_git20220125-r1.apk 25-Oct-2024 18:59 10K
armagetronad-0.2.9.1.1-r0.apk 25-Oct-2024 18:59 2M
armagetronad-doc-0.2.9.1.1-r0.apk 25-Oct-2024 18:59 92K
art_standalone-0_git20251009-r1.apk 14-Jan-2026 05:56 19M
art_standalone-dbg-0_git20251009-r1.apk 14-Jan-2026 05:56 137M
art_standalone-dev-0_git20251009-r1.apk 14-Jan-2026 05:56 9M
asciinema-rs-0.5.1-r3.apk 25-Oct-2024 18:59 1M
asdf-0.18.0-r6.apk 17-Jan-2026 22:42 2M
asdf-doc-0.18.0-r6.apk 17-Jan-2026 22:42 2294
aspell-es-1.11-r0.apk 25-Oct-2024 18:59 533K
atac-0.18.1-r0.apk 25-Nov-2024 21:56 5M
atari800-5.2.0-r0.apk 25-Oct-2024 18:59 339K
atari800-doc-5.2.0-r0.apk 25-Oct-2024 18:59 56K
atlantik-3.5.10_git20240323-r0.apk 25-Oct-2024 18:59 379K
atlantik-doc-3.5.10_git20240323-r0.apk 25-Oct-2024 18:59 79K
atlantik-lang-3.5.10_git20240323-r0.apk 25-Oct-2024 18:59 69K
atomicparsley-20240608-r0.apk 25-Oct-2024 18:59 108K
atool-0.39.0-r4.apk 25-Oct-2024 18:59 18K
atool-bash-completion-0.39.0-r4.apk 25-Oct-2024 18:59 2089
atool-doc-0.39.0-r4.apk 25-Oct-2024 18:59 9836
aufs-util-20161219-r3.apk 25-Oct-2024 18:59 176K
aufs-util-dev-20161219-r3.apk 25-Oct-2024 18:59 1497
aufs-util-doc-20161219-r3.apk 25-Oct-2024 18:59 34K
authenticator-rs-0.8.6-r0.apk 01-Sep-2025 18:29 2M
authenticator-rs-lang-0.8.6-r0.apk 01-Sep-2025 18:29 4162
autoconf-policy-0.1-r0.apk 25-Oct-2024 18:59 5619
autoremove-torrents-1.5.5-r0.apk 25-Oct-2024 18:59 35K
autoremove-torrents-doc-1.5.5-r0.apk 25-Oct-2024 18:59 12K
autoremove-torrents-pyc-1.5.5-r0.apk 25-Oct-2024 18:59 54K
autorestic-1.8.3-r11.apk 17-Jan-2026 22:42 4M
autoscan-1.4.0-r17.apk 17-Jan-2026 22:42 6M
autoscan-openrc-1.4.0-r17.apk 17-Jan-2026 22:42 2096
autotrash-0.4.7-r0.apk 25-Oct-2024 18:59 23K
autotrash-pyc-0.4.7-r0.apk 25-Oct-2024 18:59 14K
avahi2dns-0.1.0-r9.apk 20-Jan-2026 02:09 3M
avahi2dns-openrc-0.1.0-r9.apk 20-Jan-2026 02:09 1852
avahi2dns-systemd-0.1.0-r9.apk 20-Jan-2026 02:09 1844
avara-0.7.1-r1.apk 04-Nov-2024 08:53 21M
avarice-2.14-r4.apk 25-Oct-2024 18:59 65K
avarice-doc-2.14-r4.apk 25-Oct-2024 18:59 9669
avida-0_git20190813-r1.apk 25-Oct-2024 18:59 2M
avra-1.4.2-r0.apk 25-Oct-2024 18:59 39K
avra-dev-1.4.2-r0.apk 25-Oct-2024 18:59 255K
aws-ecr-get-login-password-1.0.0_rc2-r2.apk 17-Jan-2026 22:42 3M
aws-ecr-get-login-password-doc-1.0.0_rc2-r2.apk 17-Jan-2026 22:42 2379
azote-1.14.0-r0.apk 14-Dec-2024 21:22 8M
azote-pyc-1.14.0-r0.apk 14-Dec-2024 21:22 98K
azpainter-3.0.11-r0.apk 22-Feb-2025 15:08 802K
azpainter-doc-3.0.11-r0.apk 22-Feb-2025 15:08 42K
azure-iot-sdk-c-static-1.11.0-r0.apk 25-Oct-2024 18:59 780K
b2-tools-4.5.1-r0.apk 27-Jan-2026 15:00 74K
b2-tools-pyc-4.5.1-r0.apk 27-Jan-2026 15:00 139K
babashka-1.3.188-r0.apk 25-Oct-2024 18:59 23M
backup-manager-0.7.15-r1.apk 25-Oct-2024 18:59 55K
bacon-3.18.0-r0.apk 30-Sep-2025 17:37 2M
baikal-0.10.1-r1.apk 27-May-2025 21:37 1M
baikal-mysql-0.10.1-r1.apk 27-May-2025 21:37 1321
baikal-pgsql-0.10.1-r1.apk 27-May-2025 21:37 1323
baikal-sqlite-0.10.1-r1.apk 27-May-2025 21:37 1472
bake-2.5.1-r0.apk 25-Oct-2024 18:59 109K
bakelite-0.4.2-r0.apk 25-Oct-2024 18:59 34K
baresip-4.1.0-r1.apk 24-Jan-2026 12:59 1M
baresip-dev-4.1.0-r1.apk 24-Jan-2026 12:59 16K
barman-3.17.0-r0.apk 21-Jan-2026 16:58 381K
barman-bash-completion-3.17.0-r0.apk 21-Jan-2026 16:58 1677
barman-doc-3.17.0-r0.apk 21-Jan-2026 16:58 89K
barman-pyc-3.17.0-r0.apk 21-Jan-2026 16:58 599K
barnyard2-2.1.14_git20160413-r1.apk 25-Oct-2024 18:59 125K
barnyard2-openrc-2.1.14_git20160413-r1.apk 25-Oct-2024 18:59 2827
barrier-2.4.0-r2.apk 22-Feb-2025 14:38 939K
barrier-doc-2.4.0-r2.apk 22-Feb-2025 14:38 13K
bartib-1.0.1-r1.apk 25-Oct-2024 18:59 354K
base64c-0.2.1-r0.apk 25-Oct-2024 18:59 4270
base64c-dev-0.2.1-r0.apk 25-Oct-2024 18:59 5420
bash-pinyin-completion-rs-1.0.4-r0.apk 20-Jan-2026 14:21 327K
bash-pinyin-completion-rs-doc-1.0.4-r0.apk 20-Jan-2026 14:21 14K
bat-extras-2024.08.24-r0.apk 12-Oct-2025 20:47 5445
bat-extras-batdiff-2024.08.24-r0.apk 12-Oct-2025 20:47 5536
bat-extras-batgrep-2024.08.24-r0.apk 12-Oct-2025 20:47 7525
bat-extras-batman-2024.08.24-r0.apk 12-Oct-2025 20:47 4861
bat-extras-batpipe-2024.08.24-r0.apk 12-Oct-2025 20:47 7196
bat-extras-batwatch-2024.08.24-r0.apk 12-Oct-2025 20:47 5990
bat-extras-doc-2024.08.24-r0.apk 12-Oct-2025 20:47 16K
bat-extras-prettybat-2024.08.24-r0.apk 12-Oct-2025 20:47 5713
batmon-0.0.1-r0.apk 25-Oct-2024 18:59 439K
battery-limit-openrc-1-r0.apk 17-Jun-2025 10:22 1880
bazaar-0.7.6-r0.apk 29-Jan-2026 10:37 527K
bazaar-lang-0.7.6-r0.apk 29-Jan-2026 10:37 332K
bazaar-systemd-0.7.6-r0.apk 29-Jan-2026 10:37 1768
bazel6-6.5.0-r0.apk 25-Oct-2024 18:59 30M
bazel6-bash-completion-6.5.0-r0.apk 25-Oct-2024 18:59 42K
bazel7-7.7.1-r0.apk 22-Nov-2025 18:41 30M
bazel7-bash-completion-7.7.1-r0.apk 22-Nov-2025 18:41 48K
bazel8-8.4.2-r0.apk 09-Oct-2025 07:23 33M
bazel8-bash-completion-8.4.2-r0.apk 09-Oct-2025 07:23 65K
bcg729-1.1.1-r1.apk 15-Oct-2025 08:21 32K
bcg729-dev-1.1.1-r1.apk 15-Oct-2025 08:21 3708
bchunk-1.2.2-r3.apk 25-Oct-2024 18:59 7019
bchunk-doc-1.2.2-r3.apk 25-Oct-2024 18:59 3065
bdfr-2.6.2-r1.apk 25-Oct-2024 18:59 131K
beancount-language-server-1.4.1-r0.apk 03-Aug-2025 10:41 1M
beard-0.4-r0.apk 25-Oct-2024 18:59 3215
beard-doc-0.4-r0.apk 25-Oct-2024 18:59 2536
beebzzr-0_git20251214-r0.apk 17-Jan-2026 22:42 5179
beebzzr-doc-0_git20251214-r0.apk 17-Jan-2026 22:42 2534
bees-0.10-r2.apk 25-Oct-2024 18:59 290K
bees-openrc-0.10-r2.apk 25-Oct-2024 18:59 1989
belcard-5.3.105-r0.apk 25-Feb-2025 12:48 12K
belcard-dev-5.3.105-r0.apk 25-Feb-2025 12:48 11K
belcard-libs-5.3.105-r0.apk 25-Feb-2025 12:48 207K
belle-sip-5.3.105-r0.apk 25-Feb-2025 12:51 628K
belle-sip-dev-5.3.105-r0.apk 25-Feb-2025 12:51 54K
belr-5.3.105-r0.apk 25-Feb-2025 12:52 107K
belr-dev-5.3.105-r0.apk 25-Feb-2025 12:52 15K
berry-lang-1.1.0-r0.apk 25-Oct-2024 18:59 113K
bestline-0.0_git20211108-r0.apk 25-Oct-2024 18:59 21K
bestline-dev-0.0_git20211108-r0.apk 25-Oct-2024 18:59 1722
bestline-doc-0.0_git20211108-r0.apk 25-Oct-2024 18:59 18M
bettercap-2.41.5-r1.apk 17-Jan-2026 22:42 20M
bettercap-doc-2.41.5-r1.apk 17-Jan-2026 22:42 14K
bgpq4-1.15-r0.apk 25-Oct-2024 18:59 33K
bgpq4-doc-1.15-r0.apk 25-Oct-2024 18:59 6493
bgs-0.8-r1.apk 25-Oct-2024 18:59 5801
bgs-doc-0.8-r1.apk 25-Oct-2024 18:59 2359
biboumi-9.0-r9.apk 06-Oct-2025 11:28 274K
biboumi-doc-9.0-r9.apk 06-Oct-2025 11:28 1512
biboumi-openrc-9.0-r9.apk 06-Oct-2025 11:28 1939
bindfs-1.17.7-r1.apk 19-Jun-2025 08:45 21K
bindfs-doc-1.17.7-r1.apk 19-Jun-2025 08:45 9269
binwalk-3.1.0-r0.apk 07-Feb-2025 15:46 1M
biome-2.3.13-r0.apk 29-Jan-2026 00:30 8M
biometryd-0.3.3-r0.apk 09-Dec-2025 11:10 312K
biometryd-dev-0.3.3-r0.apk 09-Dec-2025 11:10 13K
bionic_translation-0_git20251125-r0.apk 08-Jan-2026 12:10 58K
bionic_translation-dbg-0_git20251125-r0.apk 08-Jan-2026 12:10 148K
bionic_translation-dev-0_git20251125-r0.apk 08-Jan-2026 12:10 1805
birdtray-1.11.4-r0.apk 12-Dec-2025 17:03 435K
bitlbee-facebook-1.2.2-r0.apk 25-Oct-2024 18:59 59K
bitlbee-mastodon-1.4.5-r0.apk 25-Oct-2024 18:59 47K
bitritter-0.1.1-r0.apk 25-Oct-2024 18:59 2M
bkt-0.8.0-r0.apk 25-Oct-2024 18:59 360K
bkt-doc-0.8.0-r0.apk 25-Oct-2024 18:59 7429
blackbox-1.20220610-r1.apk 25-Oct-2024 18:59 16K
blip-0.10-r0.apk 25-Oct-2024 18:59 15K
blip-doc-0.10-r0.apk 25-Oct-2024 18:59 32K
boa-cli-0.21-r0.apk 24-Oct-2025 20:45 8M
bochs-2.8-r1.apk 22-Feb-2025 14:38 920K
bochs-doc-2.8-r1.apk 22-Feb-2025 14:38 139K
boinc-7.24.3-r0.apk 25-Oct-2024 18:59 2M
boinc-dev-7.24.3-r0.apk 25-Oct-2024 18:59 583K
boinc-doc-7.24.3-r0.apk 25-Oct-2024 18:59 8188
boinc-gui-7.24.3-r0.apk 25-Oct-2024 18:59 1M
boinc-lang-7.24.3-r0.apk 25-Oct-2024 18:59 877K
boinc-libs-7.24.3-r0.apk 25-Oct-2024 18:59 202K
boinc-screensaver-7.24.3-r0.apk 25-Oct-2024 18:59 124K
bomctl-0.1.9-r12.apk 17-Jan-2026 22:42 10M
bomctl-bash-completion-0.1.9-r12.apk 17-Jan-2026 22:42 5258
bomctl-fish-completion-0.1.9-r12.apk 17-Jan-2026 22:42 4443
bomctl-zsh-completion-0.1.9-r12.apk 17-Jan-2026 22:42 4158
bonzomatic-20230615-r0.apk 25-Oct-2024 18:59 628K
boost1.89-1.89.0-r1.apk 17-Jan-2026 22:42 691K
boost1.89-atomic-1.89.0-r1.apk 17-Jan-2026 22:42 7676
boost1.89-chrono-1.89.0-r1.apk 17-Jan-2026 22:42 15K
boost1.89-container-1.89.0-r1.apk 17-Jan-2026 22:42 37K
boost1.89-context-1.89.0-r1.apk 17-Jan-2026 22:42 3915
boost1.89-contract-1.89.0-r1.apk 17-Jan-2026 22:42 37K
boost1.89-coroutine-1.89.0-r1.apk 17-Jan-2026 22:42 6734
boost1.89-date_time-1.89.0-r1.apk 17-Jan-2026 22:42 2829
boost1.89-dev-1.89.0-r1.apk 17-Jan-2026 22:42 17M
boost1.89-doc-1.89.0-r1.apk 17-Jan-2026 22:42 2427
boost1.89-fiber-1.89.0-r1.apk 17-Jan-2026 22:42 32K
boost1.89-filesystem-1.89.0-r1.apk 17-Jan-2026 22:42 68K
boost1.89-graph-1.89.0-r1.apk 17-Jan-2026 22:42 173K
boost1.89-iostreams-1.89.0-r1.apk 17-Jan-2026 22:42 32K
boost1.89-json-1.89.0-r1.apk 17-Jan-2026 22:42 160K
boost1.89-libs-1.89.0-r1.apk 17-Jan-2026 22:42 651K
boost1.89-locale-1.89.0-r1.apk 17-Jan-2026 22:42 324K
boost1.89-log-1.89.0-r1.apk 17-Jan-2026 22:42 323K
boost1.89-log_setup-1.89.0-r1.apk 17-Jan-2026 22:42 339K
boost1.89-nowide-1.89.0-r1.apk 17-Jan-2026 22:42 7202
boost1.89-prg_exec_monitor-1.89.0-r1.apk 17-Jan-2026 22:42 34K
boost1.89-process-1.89.0-r1.apk 17-Jan-2026 22:42 28K
boost1.89-program_options-1.89.0-r1.apk 17-Jan-2026 22:42 158K
boost1.89-python3-1.89.0-r1.apk 17-Jan-2026 22:42 98K
boost1.89-random-1.89.0-r1.apk 17-Jan-2026 22:42 14K
boost1.89-regex-1.89.0-r1.apk 17-Jan-2026 22:42 159K
boost1.89-serialization-1.89.0-r1.apk 17-Jan-2026 22:42 88K
boost1.89-static-1.89.0-r1.apk 17-Jan-2026 22:42 7M
boost1.89-thread-1.89.0-r1.apk 17-Jan-2026 22:42 44K
boost1.89-timer-1.89.0-r1.apk 17-Jan-2026 22:42 8075
boost1.89-type_erasure-1.89.0-r1.apk 17-Jan-2026 22:42 21K
boost1.89-unit_test_framework-1.89.0-r1.apk 17-Jan-2026 22:42 296K
boost1.89-url-1.89.0-r1.apk 17-Jan-2026 22:42 131K
boost1.89-wave-1.89.0-r1.apk 17-Jan-2026 22:42 335K
boost1.89-wserialization-1.89.0-r1.apk 17-Jan-2026 22:42 63K
bootchart2-0.14.9-r1.apk 17-Nov-2025 13:11 135K
bootchart2-systemd-0.14.9-r1.apk 17-Nov-2025 13:11 2271
bootinfo-0.1.0-r4.apk 25-Oct-2024 18:59 19K
bootinfo-pyc-0.1.0-r4.apk 25-Oct-2024 18:59 8443
bootloose-0.7.1-r17.apk 17-Jan-2026 22:42 2M
bootterm-0.5-r0.apk 25-Oct-2024 18:59 18K
bootterm-dbg-0.5-r0.apk 25-Oct-2024 18:59 2434
bore-0.5.2-r0.apk 15-Dec-2024 19:29 535K
boson-0_git20211219-r0.apk 25-Oct-2024 18:59 17K
botan2-2.19.5-r0.apk 28-May-2025 21:36 420K
botan2-dev-2.19.5-r0.apk 28-May-2025 21:36 312K
botan2-doc-2.19.5-r0.apk 28-May-2025 21:36 306K
botan2-libs-2.19.5-r0.apk 28-May-2025 21:36 3M
boxes-2.3.1-r0.apk 25-Oct-2024 18:59 77K
boxes-doc-2.3.1-r0.apk 25-Oct-2024 18:59 7285
brial-1.2.11-r4.apk 25-Oct-2024 18:59 1M
brial-dev-1.2.11-r4.apk 25-Oct-2024 18:59 2M
btcd-0.25.0-r1.apk 17-Jan-2026 22:42 16M
btfs-2.24-r12.apk 25-Oct-2024 18:59 29K
btfs-doc-2.24-r12.apk 25-Oct-2024 18:59 2446
btpd-0.16-r2.apk 25-Oct-2024 18:59 80K
btpd-doc-0.16-r2.apk 25-Oct-2024 18:59 8599
budgie-control-center-1.4.0-r0.apk 16-Oct-2025 17:07 3M
budgie-control-center-bash-completion-1.4.0-r0.apk 16-Oct-2025 17:07 2357
budgie-control-center-lang-1.4.0-r0.apk 16-Oct-2025 17:07 4M
budgie-desktop-10.9.2-r0.apk 16-Oct-2025 17:07 1M
budgie-desktop-dev-10.9.2-r0.apk 16-Oct-2025 17:07 18K
budgie-desktop-doc-10.9.2-r0.apk 16-Oct-2025 17:07 5785
budgie-desktop-lang-10.9.2-r0.apk 16-Oct-2025 17:07 586K
budgie-screensaver-5.1.0-r0.apk 16-Oct-2025 17:07 73K
budgie-screensaver-doc-5.1.0-r0.apk 16-Oct-2025 17:07 3422
budgie-screensaver-lang-5.1.0-r0.apk 16-Oct-2025 17:07 240K
budgie-session-0.9.1-r0.apk 16-Oct-2025 17:07 110K
budgie-session-doc-0.9.1-r0.apk 16-Oct-2025 17:07 5411
budgie-session-lang-0.9.1-r0.apk 16-Oct-2025 17:07 307K
buf-1.59.0-r2.apk 17-Jan-2026 22:42 16M
buf-bash-completion-1.59.0-r2.apk 17-Jan-2026 22:42 8834
buf-fish-completion-1.59.0-r2.apk 17-Jan-2026 22:42 4406
buf-protoc-plugins-1.59.0-r2.apk 17-Jan-2026 22:42 15M
buf-zsh-completion-1.59.0-r2.apk 17-Jan-2026 22:42 4123
buildbot-4.3.0-r1.apk 23-Jan-2026 15:51 736K
buildbot-badges-4.3.0-r1.apk 23-Jan-2026 15:51 9545
buildbot-console-view-4.3.0-r1.apk 23-Jan-2026 15:51 23K
buildbot-grid-view-4.3.0-r1.apk 23-Jan-2026 15:51 11K
buildbot-pyc-4.3.0-r1.apk 23-Jan-2026 15:51 1M
buildbot-waterfall-view-4.3.0-r1.apk 23-Jan-2026 15:51 34K
buildbot-worker-4.3.0-r1.apk 23-Jan-2026 15:51 191K
buildbot-wsgi-dashboards-4.3.0-r1.apk 23-Jan-2026 15:51 426K
buildbot-www-4.3.0-r1.apk 23-Jan-2026 15:51 422K
buildcache-0.28.9-r0.apk 25-Oct-2024 18:59 798K
bump2version-1.0.1-r6.apk 25-Oct-2024 18:59 21K
bump2version-pyc-1.0.1-r6.apk 25-Oct-2024 18:59 29K
burp-3.2.0-r0.apk 18-Jan-2026 02:41 167K
burp-doc-3.2.0-r0.apk 18-Jan-2026 02:41 96K
burp-server-3.2.0-r0.apk 18-Jan-2026 02:41 36K
butane-0.25.1-r3.apk 17-Jan-2026 22:42 3M
bwrap-oci-0.2-r1.apk 25-Oct-2024 18:59 16K
bwrap-oci-doc-0.2-r1.apk 25-Oct-2024 18:59 2551
bzmenu-0.2.1-r3.apk 09-Aug-2025 16:27 1M
cadence-0.9.2-r1.apk 09-Oct-2025 10:53 1M
caffeine-ng-4.2.0-r1.apk 25-Oct-2024 18:59 100K
caffeine-ng-doc-4.2.0-r1.apk 25-Oct-2024 18:59 3238
caffeine-ng-lang-4.2.0-r1.apk 25-Oct-2024 18:59 34K
caja-gtkhash-plugin-1.5-r0.apk 25-Oct-2024 18:59 25K
calibre-8.16.2-r0.apk 28-Jan-2026 23:43 41M
calibre-bash-completion-8.16.2-r0.apk 28-Jan-2026 23:43 5423
calibre-doc-8.16.2-r0.apk 28-Jan-2026 23:43 2M
calibre-pyc-8.16.2-r0.apk 28-Jan-2026 23:43 2406
calibre-zsh-completion-8.16.2-r0.apk 28-Jan-2026 23:43 45K
candyboot-0.1.0-r0.apk 11-Jun-2025 12:34 23K
capnet-assist-8.0.0-r0.apk 14-Apr-2025 10:10 44K
capnet-assist-lang-8.0.0-r0.apk 14-Apr-2025 10:10 37K
caprine-2.61.0-r0.apk 08-Jan-2026 15:48 16M
caps2esc-0.3.2-r0.apk 25-Oct-2024 18:59 4501
capsudo-0.1.3-r0.apk 25-Jan-2026 10:16 14K
capsudo-dbg-0.1.3-r0.apk 25-Jan-2026 10:16 40K
capsudo-doc-0.1.3-r0.apk 25-Jan-2026 10:16 8557
capsudo-openrc-0.1.3-r0.apk 25-Jan-2026 10:16 3376
captive-browser-0_git20210801-r3.apk 17-Jan-2026 22:42 1M
captive-browser-doc-0_git20210801-r3.apk 17-Jan-2026 22:42 3767
care-2.3.0-r1.apk 25-Oct-2024 18:59 95K
care-doc-2.3.0-r1.apk 25-Oct-2024 18:59 8076
cargo-crev-0.26.3-r0.apk 02-Mar-2025 16:12 6M
cargo-geiger-0.13.0-r0.apk 10-Oct-2025 17:31 5M
cargo-geiger-doc-0.13.0-r0.apk 10-Oct-2025 17:31 7864
cargo-generate-0.23.5-r0.apk 04-Oct-2025 13:14 2M
cargo-leptos-0.3.4-r0.apk 25-Jan-2026 18:58 8M
cargo-leptos-doc-0.3.4-r0.apk 25-Jan-2026 18:58 2279
cargo-machete-0.9.1-r0.apk 17-Aug-2025 23:52 1M
cargo-machete-doc-0.9.1-r0.apk 17-Aug-2025 23:52 4463
cargo-run-bin-1.7.2-r0.apk 25-Oct-2024 18:59 442K
cargo-run-bin-doc-1.7.2-r0.apk 25-Oct-2024 18:59 5188
cargo-show-asm-0.2.55-r0.apk 23-Jan-2026 10:54 864K
cargo-show-asm-doc-0.2.55-r0.apk 23-Jan-2026 10:54 10K
cargo-shuttle-0.56.6-r0.apk 29-Jul-2025 07:56 5M
cargo-shuttle-bash-completion-0.56.6-r0.apk 29-Jul-2025 07:56 5298
cargo-shuttle-doc-0.56.6-r0.apk 29-Jul-2025 07:56 9210
cargo-shuttle-fish-completion-0.56.6-r0.apk 29-Jul-2025 07:56 9265
cargo-shuttle-zsh-completion-0.56.6-r0.apk 29-Jul-2025 07:56 8128
cargo-udeps-0.1.60-r0.apk 09-Jan-2026 16:03 5M
cargo-udeps-doc-0.1.60-r0.apk 09-Jan-2026 16:03 7679
cargo-update-18.0.0-r0.apk 28-Oct-2025 07:26 1M
cargo-update-doc-18.0.0-r0.apk 28-Oct-2025 07:26 8710
cargo-vendor-filterer-0.5.18-r0.apk 24-Jul-2025 17:29 637K
cariddi-1.4.5-r0.apk 26-Jan-2026 17:52 4M
cartero-0.2.2-r0.apk 13-Oct-2025 00:00 1M
cartero-lang-0.2.2-r0.apk 13-Oct-2025 00:00 45K
castero-0.9.5-r4.apk 14-May-2025 18:16 50K
castero-pyc-0.9.5-r4.apk 14-May-2025 18:16 94K
castor-0.9.0-r2.apk 25-Oct-2024 18:59 723K
cataclysm-dda-0h-r0.apk 21-Mar-2025 16:20 19M
cataclysm-dda-curses-0h-r0.apk 21-Mar-2025 16:20 12M
cataclysm-dda-doc-0h-r0.apk 21-Mar-2025 16:20 4758
cataclysm-dda-lang-0h-r0.apk 21-Mar-2025 16:20 38M
cataclysm-dda-tiles-0h-r0.apk 21-Mar-2025 16:20 49M
catdoc-0.95-r1.apk 25-Oct-2024 18:59 108K
catdoc-doc-0.95-r1.apk 25-Oct-2024 18:59 9470
catfish-4.20.1-r0.apk 07-Jul-2025 19:17 128K
catfish-doc-4.20.1-r0.apk 07-Jul-2025 19:17 13K
catfish-lang-4.20.1-r0.apk 07-Jul-2025 19:17 167K
catfish-pyc-4.20.1-r0.apk 07-Jul-2025 19:17 104K
catppuccin-whiskers-2.5.1-r0.apk 29-Nov-2025 18:59 2M
catppuccin-whiskers-doc-2.5.1-r0.apk 29-Nov-2025 18:59 2307
cava-0.10.7-r0.apk 14-Jan-2026 05:56 48K
cbqn-0.10.0-r0.apk 30-Nov-2025 12:22 686K
cc65-2.19-r0.apk 25-Oct-2024 18:59 9M
ccrtp-2.1.2-r0.apk 25-Oct-2024 18:59 91K
ccrtp-dev-2.1.2-r0.apk 25-Oct-2024 18:59 53K
ccrtp-doc-2.1.2-r0.apk 25-Oct-2024 18:59 31K
ccze-0.2.1-r1.apk 25-Oct-2024 18:59 51K
ccze-dev-0.2.1-r1.apk 25-Oct-2024 18:59 3404
ccze-doc-0.2.1-r1.apk 25-Oct-2024 18:59 9048
cdba-1.0-r2.apk 25-Oct-2024 18:59 7822
cdba-server-1.0-r2.apk 25-Oct-2024 18:59 21K
cdist-7.0.0-r6.apk 25-Oct-2024 18:59 511K
cdist-pyc-7.0.0-r6.apk 25-Oct-2024 18:59 128K
cdogs-sdl-2.3.2-r0.apk 09-Oct-2025 18:48 28M
cemu-2.6-r0.apk 25-Sep-2025 05:44 20M
cemu-lang-2.6-r0.apk 25-Sep-2025 05:44 432K
certbot-dns-hetzner-2.0.1-r1.apk 06-Oct-2025 11:36 10K
certbot-dns-hetzner-pyc-2.0.1-r1.apk 06-Oct-2025 11:36 6613
certbot-dns-njalla-2.0.0-r0.apk 27-Nov-2024 23:05 9519
certbot-dns-njalla-pyc-2.0.0-r0.apk 27-Nov-2024 23:05 4312
certbot-dns-pdns-0.1.1-r1.apk 28-Aug-2025 01:20 8815
certbot-dns-pdns-pyc-0.1.1-r1.apk 28-Aug-2025 01:20 3965
certigo-1.16.0-r29.apk 17-Jan-2026 22:42 4M
certstrap-1.3.0-r30.apk 17-Jan-2026 22:42 2M
cfssl-1.6.5-r11.apk 17-Jan-2026 22:42 31M
cgiirc-0.5.12-r1.apk 25-Oct-2024 18:59 133K
cgo-0.6.1-r1.apk 25-Oct-2024 18:59 10K
cgo-doc-0.6.1-r1.apk 25-Oct-2024 18:59 4212
charls-2.4.2-r0.apk 25-Oct-2024 18:59 64K
charls-dev-2.4.2-r0.apk 25-Oct-2024 18:59 27K
charta-0.8.2-r2.apk 17-Jan-2026 22:42 2M
chasquid-1.17.0-r1.apk 17-Jan-2026 22:42 12M
chasquid-doc-1.17.0-r1.apk 17-Jan-2026 22:42 11K
chasquid-openrc-1.17.0-r1.apk 17-Jan-2026 22:42 2008
checkpolicy-3.6-r0.apk 25-Oct-2024 18:59 368K
checkpolicy-doc-3.6-r0.apk 25-Oct-2024 18:59 4266
cherrytree-1.4.0-r0.apk 26-Mar-2025 17:40 3M
cherrytree-doc-1.4.0-r0.apk 26-Mar-2025 17:40 2154
cherrytree-lang-1.4.0-r0.apk 26-Mar-2025 17:40 859K
chess-tui-2.0.0-r0.apk 13-Dec-2025 01:10 1M
chess-tui-doc-2.0.0-r0.apk 13-Dec-2025 01:10 2291
chim-1.1.2-r1.apk 25-Oct-2024 18:59 2M
chim-doc-1.1.2-r1.apk 25-Oct-2024 18:59 2885
chimerautils-15.0.3-r0.apk 11-Jan-2026 02:50 1M
chimerautils-dbg-15.0.3-r0.apk 11-Jan-2026 02:50 3M
chocolate-doom-3.1.1-r0.apk 19-Aug-2025 01:30 2M
chocolate-doom-doc-3.1.1-r0.apk 19-Aug-2025 01:30 233K
cilium-cli-0.16.13-r11.apk 17-Jan-2026 22:42 56M
cilium-cli-bash-completion-0.16.13-r11.apk 17-Jan-2026 22:42 5185
cilium-cli-fish-completion-0.16.13-r11.apk 17-Jan-2026 22:42 4429
cilium-cli-zsh-completion-0.16.13-r11.apk 17-Jan-2026 22:42 4142
cimg-3.4.1-r0.apk 25-Oct-2024 18:59 826K
cinny-web-4.10.2-r0.apk 08-Nov-2025 07:26 6M
circuslinux-1.0.3-r1.apk 25-Oct-2024 18:59 19K
circuslinux-data-1.0.3-r1.apk 25-Oct-2024 18:59 1M
circuslinux-doc-1.0.3-r1.apk 25-Oct-2024 18:59 18K
ckb-next-0.6.2-r1.apk 06-Sep-2025 16:20 1M
ckb-next-daemon-0.6.2-r1.apk 06-Sep-2025 16:20 69K
ckb-next-daemon-openrc-0.6.2-r1.apk 06-Sep-2025 16:20 1883
ckb-next-dev-0.6.2-r1.apk 06-Sep-2025 16:20 5050
clapboard-1.1.1-r0.apk 29-Jan-2026 16:40 580K
clatd-2.1.0-r0.apk 09-Jan-2026 16:03 15K
clementine-1.4.1_git20260109-r1.apk 25-Jan-2026 11:49 6M
clevis-21-r0.apk 20-Jan-2025 04:17 51K
clevis-bash-completion-21-r0.apk 20-Jan-2025 04:17 2087
clevis-dbg-21-r0.apk 20-Jan-2025 04:17 62K
clevis-doc-21-r0.apk 20-Jan-2025 04:17 23K
clevis-extra-pins-0_git20230629-r0.apk 25-Oct-2024 18:59 4767
click-0.5.2-r4.apk 22-Feb-2025 14:38 157K
click-dev-0.5.2-r4.apk 22-Feb-2025 14:38 9348
click-doc-0.5.2-r4.apk 22-Feb-2025 14:38 3388
click-pyc-0.5.2-r4.apk 22-Feb-2025 14:38 175K
clinfo-3.0.23.01.25-r0.apk 25-Oct-2024 18:59 47K
clinfo-doc-3.0.23.01.25-r0.apk 25-Oct-2024 18:59 6629
cliphist-0.7.0-r2.apk 17-Jan-2026 22:42 1M
cliphist-fzf-0.7.0-r2.apk 17-Jan-2026 22:42 1836
clipit-1.4.5-r3.apk 25-Oct-2024 18:59 65K
clipit-doc-1.4.5-r3.apk 25-Oct-2024 18:59 2445
cliquer-1.23-r0.apk 12-Aug-2025 04:15 7316
cliquer-dev-1.23-r0.apk 12-Aug-2025 04:15 7682
cliquer-libs-1.23-r0.apk 12-Aug-2025 04:15 24K
cliquer-static-1.23-r0.apk 12-Aug-2025 04:15 27K
cliquer-tests-1.23-r0.apk 12-Aug-2025 04:15 24K
cloud-hypervisor-48.0-r0.apk 28-Oct-2025 07:21 3M
cloud-hypervisor-doc-48.0-r0.apk 28-Oct-2025 07:21 68K
cloudflared-2025.11.1-r12.apk 17-Jan-2026 22:42 10M
cloudflared-doc-2025.11.1-r12.apk 17-Jan-2026 22:42 1956
cloudflared-openrc-2025.11.1-r12.apk 17-Jan-2026 22:42 1833
cloudfoundry-cli-8.7.9-r15.apk 17-Jan-2026 22:42 9M
cluster-glue-1.0.12-r5.apk 25-Oct-2024 18:59 261K
cluster-glue-dev-1.0.12-r5.apk 25-Oct-2024 18:59 1M
cluster-glue-doc-1.0.12-r5.apk 25-Oct-2024 18:59 33K
cluster-glue-libs-1.0.12-r5.apk 25-Oct-2024 18:59 112K
cm256cc-1.1.1-r1.apk 08-Feb-2025 23:48 9479
cm256cc-dev-1.1.1-r1.apk 08-Feb-2025 23:48 15K
cmusfm-0.5.0-r1.apk 27-Aug-2025 04:14 15K
cobang-2.3.1-r0.apk 07-Jan-2026 00:06 50K
cobang-lang-2.3.1-r0.apk 07-Jan-2026 00:06 16K
coccinelle-1.1.1-r2.apk 25-Oct-2024 18:59 7M
coccinelle-bash-completion-1.1.1-r2.apk 25-Oct-2024 18:59 2924
coccinelle-doc-1.1.1-r2.apk 25-Oct-2024 18:59 16K
cocogitto-6.5.0-r0.apk 02-Nov-2025 18:21 2M
cocogitto-bash-completion-6.5.0-r0.apk 02-Nov-2025 18:21 3243
cocogitto-doc-6.5.0-r0.apk 02-Nov-2025 18:21 39K
cocogitto-fish-completion-6.5.0-r0.apk 02-Nov-2025 18:21 3843
cocogitto-zsh-completion-6.5.0-r0.apk 02-Nov-2025 18:21 3249
code-minimap-0.6.7-r0.apk 12-Dec-2024 19:36 356K
code-minimap-doc-0.6.7-r0.apk 12-Dec-2024 19:36 8184
code-oss-1.105.1-r1.apk 10-Nov-2025 21:45 26M
code-oss-bash-completion-1.105.1-r1.apk 10-Nov-2025 21:45 2257
code-oss-zsh-completion-1.105.1-r1.apk 10-Nov-2025 21:45 2742
codec2-1.2.0-r1.apk 22-Nov-2025 18:51 666K
codec2-dev-1.2.0-r1.apk 22-Nov-2025 18:51 15K
coldbrew-1.0-r0.apk 07-Jan-2026 00:06 3967
colormake-0.9.20170221-r0.apk 25-Oct-2024 18:59 4145
colormake-doc-0.9.20170221-r0.apk 25-Oct-2024 18:59 2751
colorpicker-0_git20201128-r1.apk 25-Oct-2024 18:59 4316
comet-0.3.2-r0.apk 05-Jan-2026 01:45 3M
comics-downloader-0.33.8-r16.apk 17-Jan-2026 22:42 4M
comics-downloader-gui-0.33.8-r16.apk 17-Jan-2026 22:42 6M
commit-lsp-0.1.0-r0.apk 10-May-2025 03:12 2M
commoncpp-7.0.1-r1.apk 25-Oct-2024 18:59 289K
commoncpp-dev-7.0.1-r1.apk 25-Oct-2024 18:59 173K
commoncpp-doc-7.0.1-r1.apk 25-Oct-2024 18:59 15K
commoncpp-tools-7.0.1-r1.apk 25-Oct-2024 18:59 47K
compiz-0.9.14.2-r13.apk 29-Nov-2025 00:00 6M
compiz-dev-0.9.14.2-r13.apk 29-Nov-2025 00:00 117K
compiz-lang-0.9.14.2-r13.apk 29-Nov-2025 00:00 1M
compiz-pyc-0.9.14.2-r13.apk 29-Nov-2025 00:00 111K
compiz-utils-0.9.14.2-r13.apk 29-Nov-2025 00:00 3416
comrak-0.50.0-r0.apk 22-Jan-2026 12:39 1003K
comrak-doc-0.50.0-r0.apk 22-Jan-2026 12:39 11K
conntracct-0.2.7-r37.apk 17-Jan-2026 22:42 5M
conntracct-openrc-0.2.7-r37.apk 17-Jan-2026 22:42 1961
conserver-8.2.7-r0.apk 18-Dec-2025 19:45 130K
conserver-doc-8.2.7-r0.apk 18-Dec-2025 19:45 28K
conserver-openrc-8.2.7-r0.apk 18-Dec-2025 19:45 1713
console_bridge-1.0.2-r1.apk 28-Jan-2026 06:19 9169
console_bridge-dev-1.0.2-r1.apk 28-Jan-2026 06:19 4783
consul-replicate-0.4.0-r37.apk 17-Jan-2026 22:42 3M
contractor-0.3.5-r0.apk 12-Nov-2024 21:55 26K
convert2json-2.4.1-r0.apk 15-Dec-2025 16:18 1357
convert2json-bson-2.4.1-r0.apk 15-Dec-2025 16:18 1314
convert2json-bson-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 250K
convert2json-bson-json-2.4.1-r0.apk 15-Dec-2025 16:18 240K
convert2json-cbor-2.4.1-r0.apk 15-Dec-2025 16:18 1318
convert2json-cbor-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 214K
convert2json-cbor-json-2.4.1-r0.apk 15-Dec-2025 16:18 204K
convert2json-csv-2.4.1-r0.apk 15-Dec-2025 16:18 1317
convert2json-csv-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 232K
convert2json-csv-json-2.4.1-r0.apk 15-Dec-2025 16:18 221K
convert2json-doc-2.4.1-r0.apk 15-Dec-2025 16:18 13K
convert2json-ini-2.4.1-r0.apk 15-Dec-2025 16:18 1313
convert2json-ini-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 197K
convert2json-ini-json-2.4.1-r0.apk 15-Dec-2025 16:18 186K
convert2json-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 1398
convert2json-json-2.4.1-r0.apk 15-Dec-2025 16:18 1379
convert2json-messagepack-2.4.1-r0.apk 15-Dec-2025 16:18 1322
convert2json-messagepack-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 211K
convert2json-messagepack-json-2.4.1-r0.apk 15-Dec-2025 16:18 200K
convert2json-plist-2.4.1-r0.apk 15-Dec-2025 16:18 1313
convert2json-plist-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 254K
convert2json-plist-json-2.4.1-r0.apk 15-Dec-2025 16:18 244K
convert2json-rsv-2.4.1-r0.apk 15-Dec-2025 16:18 1318
convert2json-rsv-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 177K
convert2json-rsv-json-2.4.1-r0.apk 15-Dec-2025 16:18 164K
convert2json-toml-2.4.1-r0.apk 15-Dec-2025 16:18 1318
convert2json-toml-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 251K
convert2json-toml-json-2.4.1-r0.apk 15-Dec-2025 16:18 240K
convert2json-xml-2.4.1-r0.apk 15-Dec-2025 16:18 1316
convert2json-xml-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 212K
convert2json-xml-json-2.4.1-r0.apk 15-Dec-2025 16:18 202K
convert2json-yaml-2.4.1-r0.apk 15-Dec-2025 16:18 1318
convert2json-yaml-jaq-2.4.1-r0.apk 15-Dec-2025 16:18 276K
convert2json-yaml-json-2.4.1-r0.apk 15-Dec-2025 16:18 265K
copyq-13.0.0-r1.apk 04-Dec-2025 22:48 3M
copyq-bash-completion-13.0.0-r1.apk 04-Dec-2025 22:48 2328
copyq-doc-13.0.0-r1.apk 04-Dec-2025 22:48 3577
corosync-3.1.10-r0.apk 27-Dec-2025 10:38 275K
corosync-dev-3.1.10-r0.apk 27-Dec-2025 10:38 483K
corosync-doc-3.1.10-r0.apk 27-Dec-2025 10:38 191K
corosync-openrc-3.1.10-r0.apk 27-Dec-2025 10:38 1823
cortex-tenant-1.15.7-r3.apk 17-Jan-2026 22:42 4M
cortex-tenant-openrc-1.15.7-r3.apk 17-Jan-2026 22:42 2094
cosmopolitan-1.0-r0.apk 25-Oct-2024 18:59 5M
cosmopolitan-doc-1.0-r0.apk 25-Oct-2024 18:59 152K
cowsay-3.04-r2.apk 25-Oct-2024 18:59 18K
cowsay-doc-3.04-r2.apk 25-Oct-2024 18:59 4095
cpiped-0.1.0-r0.apk 25-Oct-2024 18:59 6503
cpp-httplib-0.30.1-r0.apk 10-Jan-2026 15:30 96K
cpp-httplib-doc-0.30.1-r0.apk 10-Jan-2026 15:30 14K
cpplint-2.0.2-r0.apk 13-Apr-2025 23:26 80K
cpplint-pyc-2.0.2-r0.apk 13-Apr-2025 23:26 99K
cproc-0_git20240427-r1.apk 03-Nov-2024 21:51 52K
cproc-dbg-0_git20240427-r1.apk 03-Nov-2024 21:51 121K
cproc-doc-0_git20240427-r1.apk 03-Nov-2024 21:51 2916
cpu-x-5.2.0-r1.apk 06-May-2025 10:05 2M
cpu-x-bash-completion-5.2.0-r1.apk 06-May-2025 10:05 2059
cpu-x-fish-completion-5.2.0-r1.apk 06-May-2025 10:05 2267
cpu-x-lang-5.2.0-r1.apk 06-May-2025 10:05 266K
cpu-x-zsh-completion-5.2.0-r1.apk 06-May-2025 10:05 2180
cpuburn-1.4a_git20160316-r2.apk 25-Oct-2024 18:59 4156
cpufetch-1.07-r0.apk 01-Nov-2025 10:01 46K
cpufetch-doc-1.07-r0.apk 01-Nov-2025 10:01 3264
crazydiskinfo-1.1.0-r1.apk 25-Oct-2024 18:59 34K
createrepo_c-1.1.4-r1.apk 30-Dec-2025 20:57 46K
createrepo_c-bash-completion-1.1.4-r1.apk 30-Dec-2025 20:57 2959
createrepo_c-dev-1.1.4-r1.apk 30-Dec-2025 20:57 31K
createrepo_c-doc-1.1.4-r1.apk 30-Dec-2025 20:57 8816
createrepo_c-libs-1.1.4-r1.apk 30-Dec-2025 20:57 88K
crispy-doom-7.1-r0.apk 24-Sep-2025 02:49 2M
crispy-doom-doc-7.1-r0.apk 24-Sep-2025 02:49 107K
criu-3.19-r3.apk 12-Jun-2025 13:59 684K
criu-dev-3.19-r3.apk 12-Jun-2025 13:59 91K
criu-doc-3.19-r3.apk 12-Jun-2025 13:59 24K
crossplane-0.5.8-r3.apk 25-Oct-2024 18:59 30K
crossplane-pyc-0.5.8-r3.apk 25-Oct-2024 18:59 39K
crow-translate-4.0.2-r0.apk 22-Sep-2025 01:17 11M
crow-translate-lang-4.0.2-r0.apk 22-Sep-2025 01:17 554K
crowdsec-1.7.6-r0.apk 25-Jan-2026 19:04 41M
crowdsec-email-plugin-1.7.6-r0.apk 25-Jan-2026 19:04 7M
crowdsec-file-plugin-1.7.6-r0.apk 25-Jan-2026 19:04 6M
crowdsec-http-plugin-1.7.6-r0.apk 25-Jan-2026 19:04 6M
crowdsec-openrc-1.7.6-r0.apk 25-Jan-2026 19:04 1841
crowdsec-sentinel-plugin-1.7.6-r0.apk 25-Jan-2026 19:04 6M
crowdsec-slack-plugin-1.7.6-r0.apk 25-Jan-2026 19:04 6M
crowdsec-splunk-plugin-1.7.6-r0.apk 25-Jan-2026 19:04 6M
crun-vm-0.3.0-r0.apk 12-Nov-2024 11:40 1M
crun-vm-doc-0.3.0-r0.apk 12-Nov-2024 11:40 13K
cscope-15.9-r1.apk 25-Oct-2024 18:59 151K
cscope-doc-15.9-r1.apk 25-Oct-2024 18:59 7670
csfml-2.5.2-r0.apk 25-Oct-2024 18:59 93K
csfml-dev-2.5.2-r0.apk 25-Oct-2024 18:59 77K
csfml-doc-2.5.2-r0.apk 25-Oct-2024 18:59 204K
csmith-2.3.0-r2.apk 25-Oct-2024 18:59 304K
csmith-doc-2.3.0-r2.apk 25-Oct-2024 18:59 3146
csol-1.6.0-r0.apk 25-Oct-2024 18:59 38K
csol-doc-1.6.0-r0.apk 25-Oct-2024 18:59 3942
ctorrent-dnh-3.3.2-r2.apk 25-Oct-2024 18:59 86K
cups-pdf-3.0.2-r0.apk 04-Jul-2025 21:11 22K
cura-5.2.2-r1.apk 25-Oct-2024 18:59 42M
cura-lang-5.2.2-r1.apk 25-Oct-2024 18:59 4M
curlftpfs-0.9.2-r3.apk 25-Oct-2024 18:59 25K
curlftpfs-doc-0.9.2-r3.apk 25-Oct-2024 18:59 6267
curtail-1.13.0-r0.apk 05-Jul-2025 20:40 30K
curtail-lang-1.13.0-r0.apk 05-Jul-2025 20:40 78K
cutechess-1.3.1-r0.apk 25-Oct-2024 18:59 1M
cutechess-cli-1.3.1-r0.apk 25-Oct-2024 18:59 324K
cutechess-cli-doc-1.3.1-r0.apk 25-Oct-2024 18:59 6738
cutechess-doc-1.3.1-r0.apk 25-Oct-2024 18:59 3655
cvise-2.11.0-r0.apk 11-Mar-2025 11:18 5M
cvise-pyc-2.11.0-r0.apk 11-Mar-2025 11:18 60K
cvs-fast-export-1.65-r0.apk 25-Oct-2024 18:59 46K
cvs-fast-export-doc-1.65-r0.apk 25-Oct-2024 18:59 17K
cvs-fast-export-tools-1.65-r0.apk 25-Oct-2024 18:59 8871
cyrus-sasl-xoauth2-0.2-r1.apk 25-Oct-2024 18:59 6897
cyrus-sasl-xoauth2-doc-0.2-r1.apk 25-Oct-2024 18:59 2333
cyrus-sasl-xoauth2-static-0.2-r1.apk 25-Oct-2024 18:59 7068
cz-viator-hourglass-black-20210706-r0.apk 25-Oct-2024 18:59 219K
dacnis-0.2.1-r0.apk 27-Jan-2026 15:13 2M
dacnis-doc-0.2.1-r0.apk 27-Jan-2026 15:13 2428
daemontools-0.76-r3.apk 25-Oct-2024 18:59 61K
daemontools-openrc-0.76-r3.apk 25-Oct-2024 18:59 2006
daktilo-0.6.0-r0.apk 25-Oct-2024 18:59 2M
daktilo-bash-completion-0.6.0-r0.apk 25-Oct-2024 18:59 2217
daktilo-doc-0.6.0-r0.apk 25-Oct-2024 18:59 8874
daktilo-fish-completion-0.6.0-r0.apk 25-Oct-2024 18:59 1984
daktilo-zsh-completion-0.6.0-r0.apk 25-Oct-2024 18:59 2320
dam-0_git20250728-r0.apk 22-Dec-2025 21:22 13K
dam-doc-0_git20250728-r0.apk 22-Dec-2025 21:22 2346
darkradiant-3.9.0-r1.apk 09-Jan-2026 20:19 9M
darkradiant-doc-3.9.0-r1.apk 09-Jan-2026 20:19 2M
darkradiant-lang-3.9.0-r1.apk 09-Jan-2026 20:19 37K
darkreader-4.9.110-r0.apk 21-Aug-2025 08:58 767K
dart-3.10.3-r1.apk 14-Jan-2026 05:56 43M
dart-sass-1.97.2-r0.apk 09-Jan-2026 21:26 1M
dart-sdk-3.10.3-r1.apk 14-Jan-2026 05:56 136M
dart-stage0-3.10.0_alpha244_p0-r0.apk 16-Nov-2025 17:55 182M
dartaotruntime-3.10.3-r1.apk 14-Jan-2026 05:56 1M
dasht-2.4.0-r0.apk 25-Oct-2024 18:59 14K
dasht-doc-2.4.0-r0.apk 25-Oct-2024 18:59 11K
dasht-zsh-completion-2.4.0-r0.apk 25-Oct-2024 18:59 2136
davmail-6.5.1-r0.apk 14-Nov-2025 23:27 8M
dbmate-2.28.0-r2.apk 17-Jan-2026 22:42 11M
dbmate-doc-2.28.0-r2.apk 17-Jan-2026 22:42 2314
dbus-broker-37-r0.apk 17-Jun-2025 10:22 85K
dbus-broker-doc-37-r0.apk 17-Jun-2025 10:22 6012
dcmtk-3.7.0-r0.apk 28-Dec-2025 20:54 1M
dcmtk-dev-3.7.0-r0.apk 28-Dec-2025 20:54 2M
dcmtk-doc-3.7.0-r0.apk 28-Dec-2025 20:54 258K
dcmtk-openrc-3.7.0-r0.apk 28-Dec-2025 20:54 1790
dcnnt-0.10.0-r1.apk 25-Oct-2024 18:59 28K
dcnnt-doc-0.10.0-r1.apk 25-Oct-2024 18:59 6750
dcnnt-pyc-0.10.0-r1.apk 25-Oct-2024 18:59 62K
ddcci-driver-linux-src-0.4.5-r2.apk 21-Mar-2025 16:20 19K
ddgr-2.2-r0.apk 25-Oct-2024 18:59 20K
ddgr-bash-completion-2.2-r0.apk 25-Oct-2024 18:59 2281
ddgr-doc-2.2-r0.apk 25-Oct-2024 18:59 12K
ddgr-fish-completion-2.2-r0.apk 25-Oct-2024 18:59 2357
ddgr-zsh-completion-2.2-r0.apk 25-Oct-2024 18:59 2761
ddserver-0_git20200930-r1.apk 25-Oct-2024 18:59 12K
deadbeef-soxr-20180801-r0.apk 25-Oct-2024 18:59 6138
debconf-1.5.82-r0.apk 25-Oct-2024 18:59 69K
debconf-bash-completion-1.5.82-r0.apk 25-Oct-2024 18:59 1900
debconf-doc-1.5.82-r0.apk 25-Oct-2024 18:59 27K
debconf-lang-1.5.82-r0.apk 25-Oct-2024 18:59 132K
debconf-utils-1.5.82-r0.apk 25-Oct-2024 18:59 6822
deblob-0.12-r0.apk 14-Oct-2025 22:47 110K
deblob-doc-0.12-r0.apk 14-Oct-2025 22:47 3888
decoder-0.7.0-r0.apk 10-Apr-2025 14:09 2M
decoder-lang-0.7.0-r0.apk 10-Apr-2025 14:09 59K
dehydrated-0.7.1-r0.apk 25-Oct-2024 18:59 26K
desed-1.2.1-r1.apk 25-Oct-2024 18:59 386K
desed-doc-1.2.1-r1.apk 25-Oct-2024 18:59 2950
desync-0.9.6-r11.apk 17-Jan-2026 22:42 8M
detox-2.0.0-r0.apk 25-Oct-2024 18:59 108K
detox-doc-2.0.0-r0.apk 25-Oct-2024 18:59 21K
deviced-0_git20250427-r0.apk 05-Jul-2025 20:03 119K
deviced-dev-0_git20250427-r0.apk 05-Jul-2025 20:03 26K
deviced-openrc-0_git20250427-r0.apk 05-Jul-2025 20:03 1742
devil-1.8.0-r0.apk 25-Oct-2024 18:59 241K
devil-dev-1.8.0-r0.apk 25-Oct-2024 18:59 13K
devpod-0.6.15-r10.apk 17-Jan-2026 22:42 25M
devpod-bash-completion-0.6.15-r10.apk 17-Jan-2026 22:42 5179
devpod-fish-completion-0.6.15-r10.apk 17-Jan-2026 22:42 4416
devpod-zsh-completion-0.6.15-r10.apk 17-Jan-2026 22:42 4136
dewduct-0.2.3-r0.apk 25-Oct-2024 18:59 1M
dfl-applications-0.3.0-r0.apk 21-Aug-2025 07:47 64K
dfl-applications-dev-0.3.0-r0.apk 21-Aug-2025 07:47 4023
dfl-ipc-0.3.0-r0.apk 21-Aug-2025 07:47 47K
dfl-ipc-dev-0.3.0-r0.apk 21-Aug-2025 07:47 4903
dfl-login1-0.3.0-r0.apk 21-Aug-2025 07:47 34K
dfl-login1-dev-0.3.0-r0.apk 21-Aug-2025 07:47 3788
dfl-sni-0.3.0-r0.apk 21-Aug-2025 07:47 59K
dfl-sni-dev-0.3.0-r0.apk 21-Aug-2025 07:47 5073
dfu-programmer-1.1.0-r0.apk 25-Oct-2024 18:59 35K
dfu-programmer-bash-completion-1.1.0-r0.apk 25-Oct-2024 18:59 2849
dfu-programmer-doc-1.1.0-r0.apk 25-Oct-2024 18:59 5898
dhewm3-1.5.4-r0.apk 22-Feb-2025 14:38 5M
diceware-1.0.1-r0.apk 13-Jan-2025 22:49 334K
diceware-pyc-1.0.1-r0.apk 13-Jan-2025 22:49 18K
disfetch-3.7-r0.apk 25-Oct-2024 18:59 8491
diskonaut-0.11.0-r3.apk 25-Oct-2024 18:59 440K
diskus-0.8.0-r0.apk 18-May-2025 22:20 338K
dislocker-0.7.3-r6.apk 19-Jul-2025 22:52 11K
dislocker-doc-0.7.3-r6.apk 19-Jul-2025 22:52 6164
dislocker-libs-0.7.3-r6.apk 19-Jul-2025 22:52 44K
distroshelf-1.3.0-r0.apk 27-Dec-2025 15:42 4M
distroshelf-lang-1.3.0-r0.apk 27-Dec-2025 15:42 37K
dlib-20.0-r0.apk 17-Jan-2026 22:42 773K
dlib-dev-20.0-r0.apk 17-Jan-2026 22:42 2M
dmarc-cat-0.15.0-r12.apk 17-Jan-2026 22:42 3M
dmarc-metrics-exporter-1.2.0-r0.apk 29-Nov-2024 22:00 25K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk 29-Nov-2024 22:00 1894
dmarc-metrics-exporter-pyc-1.2.0-r0.apk 29-Nov-2024 22:00 46K
dmenu-wl-0.1-r0.apk 02-Jul-2025 10:32 17K
dmenu-wl-doc-0.1-r0.apk 02-Jul-2025 10:32 4181
dnote-0.16.0-r1.apk 17-Jan-2026 22:42 4M
dnote-bash-completion-0.16.0-r1.apk 17-Jan-2026 22:42 2141
dnote-doc-0.16.0-r1.apk 17-Jan-2026 22:42 6446
dnote-zsh-completion-0.16.0-r1.apk 17-Jan-2026 22:42 2068
dnscontrol-4.32.0-r0.apk 25-Jan-2026 18:47 18M
dnscontrol-doc-4.32.0-r0.apk 25-Jan-2026 18:47 2339
dnscrypt-wrapper-0.4.2-r3.apk 25-Oct-2024 18:59 29K
dnsperf-2.14.0-r0.apk 25-Oct-2024 18:59 71K
dnsperf-doc-2.14.0-r0.apk 25-Oct-2024 18:59 35K
dnssec-tools-2.2.3-r13.apk 30-Jun-2025 08:08 752K
dnssec-tools-dev-2.2.3-r13.apk 30-Jun-2025 08:08 188K
dnssec-tools-doc-2.2.3-r13.apk 30-Jun-2025 08:08 317K
doasedit-1.0.9-r0.apk 31-Oct-2025 15:15 3545
docker-machine-driver-kvm2-1.34.0-r11.apk 17-Jan-2026 22:42 4M
docker-volume-local-persist-1.3.0-r39.apk 17-Jan-2026 22:42 3M
docker-volume-local-persist-openrc-1.3.0-r39.apk 17-Jan-2026 22:42 1826
dockerize-0.9.6-r3.apk 17-Jan-2026 22:42 4M
dodo-0_git20250926-r0.apk 27-Sep-2025 21:58 188K
dodo-pyc-0_git20250926-r0.apk 27-Sep-2025 21:58 89K
dolt-1.79.1-r2.apk 17-Jan-2026 22:42 39M
dooit-3.3.3-r0.apk 27-Oct-2025 08:46 46K
dooit-extras-0.2.0-r0.apk 07-Dec-2024 20:27 13K
dooit-extras-pyc-0.2.0-r0.apk 07-Dec-2024 20:27 23K
dooit-pyc-3.3.3-r0.apk 27-Oct-2025 08:46 103K
dotenv-linter-4.0.0-r0.apk 22-Nov-2025 16:18 1M
downloader-cli-0.3.4-r2.apk 14-May-2025 18:16 2024
dprint-0.49.1-r0.apk 13-Apr-2025 22:35 4M
dprint-bash-completion-0.49.1-r0.apk 13-Apr-2025 22:35 3317
dprint-doc-0.49.1-r0.apk 13-Apr-2025 22:35 3267
dprint-fish-completion-0.49.1-r0.apk 13-Apr-2025 22:35 3868
dprint-zsh-completion-0.49.1-r0.apk 13-Apr-2025 22:35 4236
draco-1.5.7-r2.apk 22-Feb-2025 14:38 817K
draco-dev-1.5.7-r2.apk 22-Feb-2025 14:38 205K
draco-static-1.5.7-r2.apk 22-Feb-2025 14:38 1M
draco-tools-1.5.7-r2.apk 22-Feb-2025 14:38 1M
draw-0.1.1-r19.apk 17-Jan-2026 22:42 1M
drogon-1.9.4-r2.apk 22-May-2025 07:16 2M
drogon-dev-1.9.4-r2.apk 22-May-2025 07:16 121K
drogon-doc-1.9.4-r2.apk 22-May-2025 07:16 2323
droidcam-2.1.3-r3.apk 27-Sep-2025 14:27 18K
droidcam-gui-2.1.3-r3.apk 27-Sep-2025 14:27 27K
drone-cli-1.9.0-r0.apk 29-Jan-2026 15:54 6M
dropwatch-1.5.5-r2.apk 04-Dec-2025 22:48 15K
dropwatch-doc-1.5.5-r2.apk 04-Dec-2025 22:48 3793
drumgizmo-0.9.20-r1.apk 25-Oct-2024 19:00 395K
drupal7-7.103-r0.apk 04-Dec-2024 17:27 3M
drupal7-doc-7.103-r0.apk 04-Dec-2024 17:27 57K
drv-1.0-r0.apk 29-Jan-2026 19:51 2M
dstask-0.27-r5.apk 17-Jan-2026 22:42 2M
dstask-bash-completion-0.27-r5.apk 17-Jan-2026 22:42 2238
dstask-fish-completion-0.27-r5.apk 17-Jan-2026 22:42 1712
dstask-import-0.27-r5.apk 17-Jan-2026 22:42 4M
dstask-zsh-completion-0.27-r5.apk 17-Jan-2026 22:42 1704
dublin-traceroute-0.4.2-r4.apk 25-Oct-2024 19:00 45K
dublin-traceroute-contrib-0.4.2-r4.apk 25-Oct-2024 19:00 2929
dublin-traceroute-dev-0.4.2-r4.apk 25-Oct-2024 19:00 7059
dublin-traceroute-doc-0.4.2-r4.apk 25-Oct-2024 19:00 2361
duc-1.4.5-r0.apk 25-Oct-2024 19:00 84K
duc-doc-1.4.5-r0.apk 25-Oct-2024 19:00 9304
duckdb-1.4.3-r1.apk 08-Jan-2026 15:48 18M
duckdb-dev-1.4.3-r1.apk 08-Jan-2026 15:48 26M
duckdb-doc-1.4.3-r1.apk 08-Jan-2026 15:48 2287
duckdb-libs-1.4.3-r1.apk 08-Jan-2026 15:48 19M
duf-0.9.1-r3.apk 17-Jan-2026 22:42 1M
duf-doc-0.9.1-r3.apk 17-Jan-2026 22:42 4830
dulcepan-1.0.2-r0.apk 25-Oct-2024 19:00 20K
dum-0.1.20-r1.apk 03-Apr-2025 00:11 347K
dustracing2d-2.1.1-r1.apk 25-Oct-2024 19:00 5M
dvdbackup-0.4.2-r1.apk 25-Oct-2024 19:00 16K
dvdbackup-doc-0.4.2-r1.apk 25-Oct-2024 19:00 7761
dvdbackup-lang-0.4.2-r1.apk 25-Oct-2024 19:00 1462
dwl-0.7-r0.apk 25-Oct-2024 19:00 27K
dwl-doc-0.7-r0.apk 25-Oct-2024 19:00 3198
e16-1.0.30-r0.apk 05-Nov-2024 13:22 783K
e16-doc-1.0.30-r0.apk 05-Nov-2024 13:22 27K
e16-lang-1.0.30-r0.apk 05-Nov-2024 13:22 380K
eatmemory-0.1.6-r2.apk 25-Oct-2024 19:00 4402
ecasound-2.9.3-r4.apk 24-Feb-2025 21:28 674K
ecasound-dev-2.9.3-r4.apk 24-Feb-2025 21:28 1M
ecasound-doc-2.9.3-r4.apk 24-Feb-2025 21:28 38K
eccodes-2.45.0-r0.apk 19-Jan-2026 18:01 11M
eclipse-ecj-4.37-r0.apk 22-Sep-2025 13:04 3M
ecos-2.0.10-r0.apk 25-Oct-2024 19:00 39K
ecos-dev-2.0.10-r0.apk 25-Oct-2024 19:00 28K
edit-1.2.1-r0.apk 16-Oct-2025 13:17 249K
edit-doc-1.2.1-r0.apk 16-Oct-2025 13:17 2300
edward-1.1.0-r0.apk 25-Oct-2024 19:00 2M
edward-doc-1.1.0-r0.apk 25-Oct-2024 19:00 5398
efl-1.28.1-r2.apk 24-Mar-2025 04:33 34M
efl-dev-1.28.1-r2.apk 24-Mar-2025 04:33 2M
efl-gdb-1.28.1-r2.apk 24-Mar-2025 04:33 1731
eiwd-3.10-r0.apk 07-Oct-2025 11:53 857K
eiwd-doc-3.10-r0.apk 07-Oct-2025 11:53 21K
eiwd-openrc-3.10-r0.apk 07-Oct-2025 11:53 1927
ekphos-0.20.0-r0.apk 28-Jan-2026 03:27 3M
ekphos-doc-0.20.0-r0.apk 28-Jan-2026 03:27 2320
electron-39.2.7-r0.apk 18-Dec-2025 19:03 97M
electron-dev-39.2.7-r0.apk 18-Dec-2025 19:03 341K
electron-lang-39.2.7-r0.apk 18-Dec-2025 19:03 11M
electron-tasje-0.7.5-r0.apk 27-Jan-2026 17:42 1M
element-desktop-1.12.9-r0.apk 27-Jan-2026 17:42 31M
elementary-calculator-8.0.1-r0.apk 02-Sep-2025 02:29 72K
elementary-calculator-lang-8.0.1-r0.apk 02-Sep-2025 02:29 59K
elementary-camera-8.0.2-r0.apk 02-Sep-2025 02:25 85K
elementary-camera-lang-8.0.2-r0.apk 02-Sep-2025 02:25 35K
elementary-dock-8.0.2-r0.apk 24-May-2025 22:57 88K
elementary-dock-lang-8.0.2-r0.apk 24-May-2025 22:57 28K
elementary-feedback-8.1.0-r0.apk 22-Nov-2025 15:38 46K
elementary-feedback-lang-8.1.0-r0.apk 22-Nov-2025 15:38 48K
elementary-icon-theme-8.1.0-r0.apk 14-May-2025 00:10 5M
elementary-music-8.0.0-r0.apk 28-Oct-2024 22:06 73K
elementary-music-lang-8.0.0-r0.apk 28-Oct-2024 22:06 47K
elementary-photos-8.0.1-r2.apk 21-Jan-2026 15:51 1M
elementary-photos-lang-8.0.1-r2.apk 21-Jan-2026 15:51 1M
elementary-settings-daemon-8.3.0-r0.apk 26-May-2025 09:05 82K
elementary-settings-daemon-lang-8.3.0-r0.apk 26-May-2025 09:05 74K
elementary-settings-daemon-openrc-8.3.0-r0.apk 26-May-2025 09:05 1840
elementary-sound-theme-1.1.0-r0.apk 10-Nov-2024 22:08 83K
elementary-theme-8.1.0-r0.apk 12-Jan-2025 20:52 1M
elementary-videos-8.0.2-r0.apk 02-Sep-2025 02:28 114K
elementary-videos-lang-8.0.2-r0.apk 02-Sep-2025 02:28 83K
elf_diff-0.7.1-r3.apk 25-Oct-2024 19:00 108K
elf_diff-pyc-0.7.1-r3.apk 25-Oct-2024 19:00 108K
eludris-0.3.3-r1.apk 25-Oct-2024 19:00 2M
eludris-doc-0.3.3-r1.apk 25-Oct-2024 19:00 2342
emacs-ement-0.16-r0.apk 23-Apr-2025 05:11 291K
emacs-lsp-booster-0.2.1-r0.apk 13-Apr-2025 21:31 410K
emacs-lsp-booster-doc-0.2.1-r0.apk 13-Apr-2025 21:31 2323
emacs-persist-0.6_git20240114-r0.apk 25-Oct-2024 19:00 6787
emmylua-check-0.19.0-r0.apk 24-Jan-2026 12:54 2M
emmylua-doc-cli-0.19.0-r0.apk 24-Jan-2026 12:54 2M
emmylua-ls-0.19.0-r0.apk 24-Jan-2026 12:54 3M
emmylua-ls-doc-0.19.0-r0.apk 24-Jan-2026 12:54 37K
empede-0.2.3-r0.apk 25-Oct-2024 19:00 2M
empede-doc-0.2.3-r0.apk 25-Oct-2024 19:00 2341
empede-openrc-0.2.3-r0.apk 25-Oct-2024 19:00 1970
emulationstation-2.11.2-r1.apk 25-Oct-2024 19:00 1M
emulationstation-theme-gbz35-2.11.2-r1.apk 25-Oct-2024 19:00 3M
endeavour-43.0-r2.apk 08-Dec-2024 21:48 191K
endeavour-dev-43.0-r2.apk 08-Dec-2024 21:48 46K
endeavour-doc-43.0-r2.apk 08-Dec-2024 21:48 68K
endeavour-lang-43.0-r2.apk 08-Dec-2024 21:48 203K
enjoy-0.3-r1.apk 25-Oct-2024 19:00 12K
enlighten-0.9.2-r1.apk 25-Oct-2024 19:00 7131
enlighten-doc-0.9.2-r1.apk 25-Oct-2024 19:00 3596
envconsul-0.13.4-r2.apk 17-Jan-2026 22:42 5M
envsubst-0.1-r1.apk 25-Oct-2024 19:00 4787
epoch-1.3.0-r3.apk 28-Jan-2026 03:06 55K
epr-2.4.15-r1.apk 25-Oct-2024 19:00 16K
epr-pyc-2.4.15-r1.apk 25-Oct-2024 19:00 24K
ergo-ldap-0.0.1-r23.apk 17-Jan-2026 22:42 2M
ergo-ldap-doc-0.0.1-r23.apk 17-Jan-2026 22:42 2337
errands-46.2.10-r0.apk 07-Jan-2026 00:06 85K
errands-lang-46.2.10-r0.apk 07-Jan-2026 00:06 78K
espeakup-0.90-r2.apk 25-Oct-2024 19:00 11K
espeakup-openrc-0.90-r2.apk 25-Oct-2024 19:00 1859
esptool-4.8.1-r0.apk 25-Oct-2024 19:00 424K
esptool-pyc-4.8.1-r0.apk 25-Oct-2024 19:00 549K
ettercap-0.8.3.1-r3.apk 25-Oct-2024 19:00 556K
ettercap-doc-0.8.3.1-r3.apk 25-Oct-2024 19:00 45K
eva-0.3.1-r2.apk 25-Oct-2024 19:00 632K
evolution-etesync-1.1.2-r0.apk 11-Jan-2026 18:31 53K
evolution-etesync-lang-1.1.2-r0.apk 11-Jan-2026 18:31 25K
evolution-on-3.24.4-r1.apk 18-Sep-2025 17:36 10K
eww-0.6.0-r0.apk 09-Nov-2025 19:47 3M
exabgp-4.2.24-r1.apk 06-Sep-2025 16:20 385K
exabgp-doc-4.2.24-r1.apk 06-Sep-2025 16:20 8292
exabgp-openrc-4.2.24-r1.apk 06-Sep-2025 16:20 2305
exabgp-pyc-4.2.24-r1.apk 06-Sep-2025 16:20 778K
exercism-3.2.0-r19.apk 17-Jan-2026 22:42 4M
exercism-bash-completion-3.2.0-r19.apk 17-Jan-2026 22:42 2008
exercism-fish-completion-3.2.0-r19.apk 17-Jan-2026 22:42 2421
exercism-zsh-completion-3.2.0-r19.apk 17-Jan-2026 22:42 2160
extrace-0.9-r0.apk 25-Oct-2024 19:00 9653
extrace-doc-0.9-r0.apk 25-Oct-2024 19:00 3600
extremetuxracer-0.8.3-r0.apk 25-Oct-2024 19:00 40M
extremetuxracer-doc-0.8.3-r0.apk 25-Oct-2024 19:00 6861
extundelete-0.2.4-r1.apk 25-Oct-2024 19:00 39K
fabric-3.2.2-r1.apk 25-Oct-2024 19:00 55K
fabric-pyc-3.2.2-r1.apk 25-Oct-2024 19:00 60K
faircamp-1.7.0-r1.apk 12-Jan-2026 23:13 2M
fakeroot-tcp-1.32.1-r1.apk 25-Oct-2024 19:00 28K
fast-double-parser-0.8.1-r0.apk 20-Oct-2025 10:31 25K
fastd-23-r0.apk 29-Jan-2025 16:00 73K
fastd-doc-23-r0.apk 29-Jan-2025 16:00 3354
fastd-openrc-23-r0.apk 29-Jan-2025 16:00 1739
fatback-1.3-r2.apk 25-Oct-2024 19:00 28K
fatback-doc-1.3-r2.apk 25-Oct-2024 19:00 16K
fathom-1.3.1-r19.apk 17-Jan-2026 22:42 5M
fatrace-0.18.0-r0.apk 27-Jul-2025 19:28 10K
fatrace-doc-0.18.0-r0.apk 27-Jul-2025 19:28 3389
fatresize-1.1.0-r1.apk 25-Oct-2024 19:00 8864
fatresize-doc-1.1.0-r1.apk 25-Oct-2024 19:00 15K
faultstat-0.01.11-r0.apk 25-Oct-2024 19:00 12K
faultstat-bash-completion-0.01.11-r0.apk 25-Oct-2024 19:00 2351
faultstat-doc-0.01.11-r0.apk 25-Oct-2024 19:00 3110
faust-2.79.3-r0.apk 07-Jun-2025 17:01 8M
faust-dev-2.79.3-r0.apk 07-Jun-2025 17:01 1M
faust-doc-2.79.3-r0.apk 07-Jun-2025 17:01 17M
faust-static-2.79.3-r0.apk 07-Jun-2025 17:01 521K
faust-tools-2.79.3-r0.apk 07-Jun-2025 17:01 120K
faust-vim-2.79.3-r0.apk 07-Jun-2025 17:01 2666
fava-1.28-r0.apk 25-Oct-2024 19:00 1M
fava-pyc-1.28-r0.apk 25-Oct-2024 19:00 164K
fbcur-1.0.1-r1.apk 25-Oct-2024 19:00 4629
fbcur-doc-1.0.1-r1.apk 25-Oct-2024 19:00 2217
fbdebug-1.0.1-r0.apk 19-Dec-2025 21:47 5375
fceux-2.6.6-r4.apk 27-Sep-2025 14:27 3M
fceux-doc-2.6.6-r4.apk 27-Sep-2025 14:27 105K
fcitx5-mozc-2.32.5994.102.20251109-r0.apk 14-Nov-2025 21:57 16M
fcitx5-mozc-doc-2.32.5994.102.20251109-r0.apk 14-Nov-2025 21:57 20K
fcitx5-mozc-lang-2.32.5994.102.20251109-r0.apk 14-Nov-2025 21:57 8660
fdm-materials-5.2.2-r1.apk 25-Oct-2024 19:00 60K
featherpad-1.6.2-r0.apk 28-Nov-2025 19:39 730K
featherpad-lang-1.6.2-r0.apk 28-Nov-2025 19:39 485K
felix-2.16.1-r0.apk 16-May-2025 08:59 648K
femto-2.24.1-r0.apk 06-Sep-2025 16:20 66K
femto-doc-2.24.1-r0.apk 06-Sep-2025 16:20 49K
fff-2.2-r0.apk 25-Oct-2024 19:00 11K
fff-doc-2.2-r0.apk 25-Oct-2024 19:00 9203
ffmpeg4-4.4.6-r0.apk 04-Jan-2026 01:25 46K
ffmpeg4-dev-4.4.6-r0.apk 04-Jan-2026 01:25 281K
ffmpeg4-libavcodec-4.4.6-r0.apk 04-Jan-2026 01:25 6M
ffmpeg4-libavdevice-4.4.6-r0.apk 04-Jan-2026 01:25 47K
ffmpeg4-libavfilter-4.4.6-r0.apk 04-Jan-2026 01:25 2M
ffmpeg4-libavformat-4.4.6-r0.apk 04-Jan-2026 01:25 1M
ffmpeg4-libavutil-4.4.6-r0.apk 04-Jan-2026 01:25 279K
ffmpeg4-libpostproc-4.4.6-r0.apk 04-Jan-2026 01:25 61K
ffmpeg4-libswresample-4.4.6-r0.apk 04-Jan-2026 01:25 49K
ffmpeg4-libswscale-4.4.6-r0.apk 04-Jan-2026 01:25 174K
ffms2-5.0-r2.apk 27-Sep-2025 14:27 70K
ffms2-dev-5.0-r2.apk 27-Sep-2025 14:27 7710
ffms2-doc-5.0-r2.apk 27-Sep-2025 14:27 30K
ffsend-0.2.76-r4.apk 25-Oct-2024 19:00 2M
ffsend-bash-completion-0.2.76-r4.apk 25-Oct-2024 19:00 3699
ffsend-fish-completion-0.2.76-r4.apk 25-Oct-2024 19:00 3660
ffsend-zsh-completion-0.2.76-r4.apk 25-Oct-2024 19:00 4675
fheroes2-1.1.13-r0.apk 22-Dec-2025 16:41 2M
fheroes2-lang-1.1.13-r0.apk 22-Dec-2025 16:41 2M
fildesh-0.2.0-r0.apk 25-Oct-2024 19:00 67K
fildesh-doc-0.2.0-r0.apk 25-Oct-2024 19:00 2152
fildesh-vim-0.2.0-r0.apk 25-Oct-2024 19:00 3635
filebrowser-2.27.0-r17.apk 17-Jan-2026 22:42 8M
filebrowser-openrc-2.27.0-r17.apk 17-Jan-2026 22:42 1868
fileshelter-6.2.0-r4.apk 27-Sep-2025 22:22 305K
fileshelter-openrc-6.2.0-r4.apk 27-Sep-2025 22:22 1739
findtow-0.1-r0.apk 25-Oct-2024 19:00 4932
finger-0.5-r0.apk 25-Oct-2024 19:00 6600
finger-doc-0.5-r0.apk 25-Oct-2024 19:00 3889
firectl-0.2.0-r25.apk 17-Jan-2026 22:42 4M
firefox-developer-edition-147.0_beta6-r1.apk 14-Jan-2026 05:56 90M
firehol-3.1.7-r2.apk 25-Oct-2024 19:01 85K
firehol-doc-3.1.7-r2.apk 25-Oct-2024 19:01 675K
firehol-openrc-3.1.7-r2.apk 25-Oct-2024 19:01 2105
flamelens-0.3.1-r0.apk 29-Apr-2025 07:46 1M
flamelens-doc-0.3.1-r0.apk 29-Apr-2025 07:46 3748
flann-1.9.2-r1.apk 22-Feb-2025 14:38 2M
flann-dev-1.9.2-r1.apk 22-Feb-2025 14:38 963K
flann-doc-1.9.2-r1.apk 22-Feb-2025 14:38 2592
flannel-0.28.0-r1.apk 17-Jan-2026 22:42 15M
flannel-contrib-cni-0.28.0-r1.apk 17-Jan-2026 22:42 4404
flannel-openrc-0.28.0-r1.apk 17-Jan-2026 22:42 2009
flare-engine-1.14-r1.apk 07-Nov-2025 17:28 4M
flare-engine-doc-1.14-r1.apk 07-Nov-2025 17:28 2523
flare-game-1.14-r0.apk 25-Oct-2024 19:01 2240
flatseal-2.3.1-r0.apk 19-Jun-2025 15:30 43K
flatseal-doc-2.3.1-r0.apk 19-Jun-2025 15:30 8664
flatseal-lang-2.3.1-r0.apk 19-Jun-2025 15:30 79K
flauschige-uhr-0.1-r1.apk 25-Oct-2024 19:01 4345
flawz-0.3.0-r0.apk 03-Nov-2024 21:07 1M
flawz-bash-completion-0.3.0-r0.apk 03-Nov-2024 21:07 2167
flawz-doc-0.3.0-r0.apk 03-Nov-2024 21:07 6131
flawz-fish-completion-0.3.0-r0.apk 03-Nov-2024 21:07 1955
flawz-zsh-completion-0.3.0-r0.apk 03-Nov-2024 21:07 2278
flightgear-2024.1.1-r0.apk 05-Mar-2025 00:17 11M
flightgear-bash-completion-2024.1.1-r0.apk 05-Mar-2025 00:17 5634
flightgear-dbg-2024.1.1-r0.apk 05-Mar-2025 00:17 22M
flightgear-doc-2024.1.1-r0.apk 05-Mar-2025 00:17 58K
flightgear-zsh-completion-2024.1.1-r0.apk 05-Mar-2025 00:17 7504
flowd-0.9.1-r11.apk 30-Jun-2025 08:08 77K
flowd-dev-0.9.1-r11.apk 30-Jun-2025 08:08 8284
flowd-doc-0.9.1-r11.apk 30-Jun-2025 08:08 10K
flowd-openrc-0.9.1-r11.apk 30-Jun-2025 08:08 1940
fluent-bit-4.2.0-r0.apk 04-Dec-2025 22:48 8M
fluent-bit-dev-4.2.0-r0.apk 04-Dec-2025 22:48 141K
fluent-bit-openrc-4.2.0-r0.apk 04-Dec-2025 22:48 1743
flutter-3.38.4-r2.apk 14-Jan-2026 05:56 1300
flutter-common-3.38.4-r2.apk 14-Jan-2026 05:56 36M
flutter-desktop-3.38.4-r2.apk 14-Jan-2026 05:56 27M
flutter-developer-3.38.4-r2.apk 14-Jan-2026 05:56 3M
flutter-glfw-3.38.4-r2.apk 14-Jan-2026 05:56 6M
flutter-gtk-3.38.4-r2.apk 14-Jan-2026 05:56 6M
flutter-tool-3.38.4-r2.apk 14-Jan-2026 05:56 16M
flutter-tool-developer-3.38.4-r2.apk 14-Jan-2026 05:56 1746
fnf-0.1-r0.apk 25-Oct-2024 19:01 16K
fnf-doc-0.1-r0.apk 25-Oct-2024 19:01 4706
fnm-1.38.1-r0.apk 25-Nov-2024 14:28 2M
fnm-bash-completion-1.38.1-r0.apk 25-Nov-2024 14:28 2850
fnm-fish-completion-1.38.1-r0.apk 25-Nov-2024 14:28 4395
fnm-zsh-completion-1.38.1-r0.apk 25-Nov-2024 14:28 4392
foma-0.10.0_git20240712-r0.apk 25-Oct-2024 19:01 331K
foma-dev-0.10.0_git20240712-r0.apk 25-Oct-2024 19:01 8679
font-andika-six-6.210-r0.apk 28-Sep-2025 12:01 1M
font-anonymous-pro-1.002-r2.apk 25-Oct-2024 19:01 264K
font-aref-ruqaa-1.006-r0.apk 13-Apr-2025 21:31 357K
font-babelstone-han-15.1.3-r0.apk 25-Oct-2024 19:01 18M
font-cascadia-2407.24-r1.apk 27-May-2025 14:33 1296
font-cascadia-code-2407.24-r1.apk 27-May-2025 14:33 526K
font-cascadia-mono-2407.24-r1.apk 27-May-2025 14:33 507K
font-chivo-0_git20221110-r0.apk 25-Oct-2024 19:01 792K
font-chivo-mono-0_git20221110-r0.apk 25-Oct-2024 19:01 626K
font-comic-neue-2.51-r0.apk 25-Oct-2024 19:01 249K
font-comic-neue-doc-2.51-r0.apk 25-Oct-2024 19:01 1004K
font-commit-mono-1.143-r0.apk 25-Oct-2024 19:01 251K
font-cousine-0_git20210228-r0.apk 25-Oct-2024 19:01 110K
font-fantasque-sans-1.8.0-r0.apk 25-Oct-2024 19:01 1226
font-fantasque-sans-doc-1.8.0-r0.apk 25-Oct-2024 19:01 5648
font-fantasque-sans-largelineheight-1.8.0-r0.apk 25-Oct-2024 19:01 316K
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 19:01 316K
font-fantasque-sans-noloopk-1.8.0-r0.apk 25-Oct-2024 19:01 316K
font-fantasque-sans-normal-1.8.0-r0.apk 25-Oct-2024 19:01 316K
font-fira-code-6.2-r0.apk 25-Oct-2024 19:01 836K
font-fira-code-vf-6.2-r0.apk 25-Oct-2024 19:01 145K
font-firamath-0.3.4-r0.apk 25-Oct-2024 19:01 118K
font-fontawesome-4-4.7.0-r3.apk 25-Oct-2024 19:01 205K
font-hanazono-20170904-r2.apk 15-Sep-2025 12:59 29M
font-intel-one-mono-1.3.0-r0.apk 25-Oct-2024 19:01 281K
font-katex-0.16.2-r0.apk 25-Oct-2024 19:01 852K
font-material-icons-4.0.0-r0.apk 25-Oct-2024 19:01 652K
font-monaspace-1.101-r0.apk 25-Oct-2024 19:01 1489
font-monaspace-argon-1.101-r0.apk 25-Oct-2024 19:01 2M
font-monaspace-krypton-1.101-r0.apk 25-Oct-2024 19:01 2M
font-monaspace-neon-1.101-r0.apk 25-Oct-2024 19:01 2M
font-monaspace-radon-1.101-r0.apk 25-Oct-2024 19:01 3M
font-monaspace-xenon-1.101-r0.apk 25-Oct-2024 19:01 2M
font-monocraft-4.0-r0.apk 25-Oct-2024 19:01 677K
font-openmoji-16.0.0-r0.apk 11-Aug-2025 06:31 1M
font-siji-20190218_git-r2.apk 25-Oct-2024 19:01 24K
font-stix-otf-2.13-r0.apk 25-Oct-2024 19:01 2M
font-stix-ttf-2.13-r0.apk 25-Oct-2024 19:01 430K
font-tamzen-1.11.5-r1.apk 25-Oct-2024 19:01 62K
font-terminus-ttf-4.49.3-r0.apk 11-Jul-2025 19:54 538K
font-tinos-0_git20210228-r0.apk 25-Oct-2024 19:01 199K
font-tiresias-0_git20200704-r0.apk 25-Oct-2024 19:01 568K
font-tiresias-doc-0_git20200704-r0.apk 25-Oct-2024 19:01 58K
foolsm-1.0.21-r0.apk 25-Oct-2024 19:01 33K
foolsm-doc-1.0.21-r0.apk 25-Oct-2024 19:01 3947
foolsm-openrc-1.0.21-r0.apk 25-Oct-2024 19:01 1587
formiko-1.5.0-r1.apk 07-Jan-2026 10:30 107K
formiko-doc-1.5.0-r1.apk 07-Jan-2026 10:30 8746
formiko-pyc-1.5.0-r1.apk 07-Jan-2026 10:30 61K
fpc-3.2.2-r4.apk 25-Oct-2024 19:01 71M
fpc-doc-3.2.2-r4.apk 25-Oct-2024 19:01 1M
fpc-stage0-3.2.2-r3.apk 25-Oct-2024 19:01 6M
fpp-0.9.5-r0.apk 25-Oct-2024 19:01 29K
fpp-doc-0.9.5-r0.apk 25-Oct-2024 19:01 5728
fq-0.16.0-r1.apk 17-Jan-2026 22:42 5M
freealut-1.1.0-r1.apk 25-Oct-2024 19:01 18K
freealut-dev-1.1.0-r1.apk 25-Oct-2024 19:01 23K
freediameter-1.5.0-r1.apk 25-Oct-2024 19:01 9766
freediameter-dev-1.5.0-r1.apk 25-Oct-2024 19:01 54K
freediameter-extensions-1.5.0-r1.apk 25-Oct-2024 19:01 391K
freediameter-libfdcore-1.5.0-r1.apk 25-Oct-2024 19:01 176K
freediameter-libfdproto-1.5.0-r1.apk 25-Oct-2024 19:01 94K
freetube-0.23.13-r0.apk 23-Jan-2026 21:56 2M
frescobaldi-3.3.0-r1.apk 25-Oct-2024 19:01 3M
frescobaldi-doc-3.3.0-r1.apk 25-Oct-2024 19:01 2540
frescobaldi-pyc-3.3.0-r1.apk 25-Oct-2024 19:01 1M
freshrss-1.28.0-r0.apk 02-Jan-2026 01:39 2M
freshrss-doc-1.28.0-r0.apk 02-Jan-2026 01:39 912K
freshrss-lang-1.28.0-r0.apk 02-Jan-2026 01:39 507K
freshrss-mysql-1.28.0-r0.apk 02-Jan-2026 01:39 1298
freshrss-openrc-1.28.0-r0.apk 02-Jan-2026 01:39 2550
freshrss-pgsql-1.28.0-r0.apk 02-Jan-2026 01:39 1302
freshrss-sqlite-1.28.0-r0.apk 02-Jan-2026 01:39 1300
freshrss-themes-1.28.0-r0.apk 02-Jan-2026 01:39 1M
fulcrum-1.9.8-r1.apk 25-Oct-2024 19:01 937K
fulcrum-admin-1.9.8-r1.apk 25-Oct-2024 19:01 8104
fulcrum-doc-1.9.8-r1.apk 25-Oct-2024 19:01 22K
fusee-nano-0.5.3-r1.apk 25-Oct-2024 19:01 21K
fusee-nano-udev-0.5.3-r1.apk 25-Oct-2024 19:01 1744
fuseiso-20070708-r0.apk 14-Apr-2025 01:40 15K
fuseiso-doc-20070708-r0.apk 14-Apr-2025 01:40 2646
fusesoc-2.3-r0.apk 25-Oct-2024 19:01 46K
fusesoc-pyc-2.3-r0.apk 25-Oct-2024 19:01 89K
futhark-0.25.28-r0.apk 12-Mar-2025 01:07 21M
fuzzylite-6.0-r2.apk 31-Jan-2025 21:53 4217
fuzzylite-dev-6.0-r2.apk 31-Jan-2025 21:53 68K
fuzzylite-doc-6.0-r2.apk 31-Jan-2025 21:53 2138
fuzzylite-libs-6.0-r2.apk 31-Jan-2025 21:53 345K
fwallet-1.2.0-r7.apk 16-Nov-2025 17:55 8M
fxfloorboard-katana-mk2-20240515-r1.apk 25-Oct-2024 19:01 6M
fxfloorboard-katana-mk2-doc-20240515-r1.apk 25-Oct-2024 19:01 1M
fxload-2008.10.13-r0.apk 06-Dec-2025 23:09 8612
fynedesk-0.4.0-r3.apk 17-Jan-2026 22:42 13M
gambit-4.9.5-r1.apk 07-Apr-2025 08:30 10M
gambit-dev-4.9.5-r1.apk 07-Apr-2025 08:30 7M
gambit-doc-4.9.5-r1.apk 07-Apr-2025 08:30 4438
game-devices-udev-0.25-r0.apk 23-Oct-2025 05:17 7066
gammastep-2.0.9-r3.apk 25-Oct-2024 19:01 89K
gammastep-doc-2.0.9-r3.apk 25-Oct-2024 19:01 14K
gammastep-lang-2.0.9-r3.apk 25-Oct-2024 19:01 78K
gammastep-pyc-2.0.9-r3.apk 25-Oct-2024 19:01 17K
gatling-0.16-r6.apk 25-Oct-2024 19:01 142K
gatling-doc-0.16-r6.apk 25-Oct-2024 19:01 9294
gatling-openrc-0.16-r6.apk 25-Oct-2024 19:01 2853
gaupol-1.12-r2.apk 25-Oct-2024 19:01 276K
gaupol-doc-1.12-r2.apk 25-Oct-2024 19:01 2426
gaupol-lang-1.12-r2.apk 25-Oct-2024 19:01 277K
gaupol-pyc-1.12-r2.apk 25-Oct-2024 19:01 419K
gb-0.4.4-r37.apk 17-Jan-2026 22:42 7M
gcli-2.9.1-r0.apk 09-Nov-2025 16:39 127K
gcli-doc-2.9.1-r0.apk 09-Nov-2025 16:39 38K
gdcm-3.2.2-r3.apk 02-Dec-2025 06:13 414K
gdcm-dev-3.2.2-r3.apk 02-Dec-2025 06:13 450K
gdcm-doc-3.2.2-r3.apk 02-Dec-2025 06:13 55K
gdcm-doc-html-3.2.2-r3.apk 02-Dec-2025 06:13 9M
gdcm-doc-pdf-3.2.2-r3.apk 02-Dec-2025 06:13 14M
gearman-dev-1.1.22-r0.apk 06-Sep-2025 16:20 1M
gearman-libs-1.1.22-r0.apk 06-Sep-2025 16:20 79K
gearmand-1.1.22-r0.apk 06-Sep-2025 16:20 175K
gearmand-doc-1.1.22-r0.apk 06-Sep-2025 16:20 189K
gearmand-openrc-1.1.22-r0.apk 06-Sep-2025 16:20 1852
gede-2.22.1-r0.apk 02-Nov-2025 20:48 404K
genact-1.5.1-r0.apk 26-Jan-2026 15:25 2M
generate-kernel-cmdline-1.0-r0.apk 22-Jan-2026 23:37 152K
generate-kernel-cmdline-doc-1.0-r0.apk 22-Jan-2026 23:37 2945
geoclue-stumbler-1.1-r0.apk 04-Oct-2025 17:03 41K
geodns-3.3.0-r19.apk 17-Jan-2026 22:42 5M
geodns-logs-3.3.0-r19.apk 17-Jan-2026 22:42 5M
geodns-openrc-3.3.0-r19.apk 17-Jan-2026 22:42 1823
geomyidae-0.34-r2.apk 25-Oct-2024 19:01 15K
geomyidae-doc-0.34-r2.apk 25-Oct-2024 19:01 7834
geomyidae-openrc-0.34-r2.apk 25-Oct-2024 19:01 2038
geonames-0.3.1-r2.apk 25-Oct-2024 19:01 827K
geonames-dev-0.3.1-r2.apk 25-Oct-2024 19:01 3091
geonames-doc-0.3.1-r2.apk 25-Oct-2024 19:01 13K
geonames-lang-0.3.1-r2.apk 25-Oct-2024 19:01 5M
geotagging-0.7.4-r0.apk 12-Nov-2024 11:18 440K
gesture-0.8-r0.apk 19-Jan-2026 14:19 13K
gesture-openrc-0.8-r0.apk 19-Jan-2026 14:19 1710
getmail6-6.19.10-r0.apk 19-Aug-2025 11:29 70K
getmail6-doc-6.19.10-r0.apk 19-Aug-2025 11:29 139K
getmail6-pyc-6.19.10-r0.apk 19-Aug-2025 11:29 103K
getssl-2.48-r0.apk 25-Oct-2024 19:01 82K
getting-things-gnome-0.6-r4.apk 08-Dec-2024 21:48 715K
getting-things-gnome-doc-0.6-r4.apk 08-Dec-2024 21:48 497K
getting-things-gnome-lang-0.6-r4.apk 08-Dec-2024 21:48 229K
gfan-0.6.2-r1.apk 25-Oct-2024 19:01 2M
ghc-filesystem-1.5.14-r0.apk 25-Oct-2024 19:01 39K
ghidra-12.0-r0.apk 13-Dec-2025 16:58 410M
ghidra-doc-12.0-r0.apk 13-Dec-2025 16:58 57M
ghidra-tutorials-12.0-r0.apk 13-Dec-2025 16:58 4M
ghostty-1.2.3_git20260112-r1.apk 21-Jan-2026 10:58 16M
ghostty-bash-completion-1.2.3_git20260112-r1.apk 21-Jan-2026 10:58 6694
ghostty-doc-1.2.3_git20260112-r1.apk 21-Jan-2026 10:58 2334
ghostty-fish-completion-1.2.3_git20260112-r1.apk 21-Jan-2026 10:58 8533
ghostty-zsh-completion-1.2.3_git20260112-r1.apk 21-Jan-2026 10:58 4667
ghq-1.8.0-r7.apk 17-Jan-2026 22:42 4M
ghq-bash-completion-1.8.0-r7.apk 17-Jan-2026 22:42 1834
ghq-doc-1.8.0-r7.apk 17-Jan-2026 22:42 5638
ghq-fish-completion-1.8.0-r7.apk 17-Jan-2026 22:42 2609
ghq-zsh-completion-1.8.0-r7.apk 17-Jan-2026 22:42 2548
gimp-plugin-gmic-3.6.0-r2.apk 03-Jan-2026 16:55 1M
ginger-2.4.0-r7.apk 25-Oct-2024 19:01 257K
ginger-lang-2.4.0-r7.apk 25-Oct-2024 19:01 125K
ginger-pyc-2.4.0-r7.apk 25-Oct-2024 19:01 207K
gingerbase-2.3.0-r7.apk 25-Oct-2024 19:01 195K
gingerbase-lang-2.3.0-r7.apk 25-Oct-2024 19:01 53K
gingerbase-pyc-2.3.0-r7.apk 25-Oct-2024 19:01 61K
git-bug-0.8.1-r7.apk 17-Jan-2026 22:42 10M
git-bug-bash-completion-0.8.1-r7.apk 17-Jan-2026 22:42 5399
git-bug-doc-0.8.1-r7.apk 17-Jan-2026 22:42 17K
git-bug-fish-completion-0.8.1-r7.apk 17-Jan-2026 22:42 4434
git-bug-zsh-completion-0.8.1-r7.apk 17-Jan-2026 22:42 4146
git-extras-7.4.0-r0.apk 22-Jul-2025 22:16 57K
git-extras-bash-completion-7.4.0-r0.apk 22-Jul-2025 22:16 2941
git-extras-doc-7.4.0-r0.apk 22-Jul-2025 22:16 65K
git-graph-0.6.0-r0.apk 25-Nov-2024 23:38 860K
git-graph-doc-0.6.0-r0.apk 25-Nov-2024 23:38 6395
git-quick-stats-2.8.0-r0.apk 11-Sep-2025 08:18 15K
git-quick-stats-doc-2.8.0-r0.apk 11-Sep-2025 08:18 3555
git-revise-0.7.0-r5.apk 25-Oct-2024 19:01 24K
git-revise-doc-0.7.0-r5.apk 25-Oct-2024 19:01 5078
git-revise-pyc-0.7.0-r5.apk 25-Oct-2024 19:01 42K
git-secret-0.5.0-r0.apk 25-Oct-2024 19:01 15K
git-secret-doc-0.5.0-r0.apk 25-Oct-2024 19:01 17K
gitoxide-0.14.0-r1.apk 25-Oct-2024 19:01 3M
gkrellm-2.3.11-r0.apk 08-Jan-2025 23:55 353K
gkrellm-dev-2.3.11-r0.apk 08-Jan-2025 23:55 17K
gkrellm-doc-2.3.11-r0.apk 08-Jan-2025 23:55 19K
gkrellm-lang-2.3.11-r0.apk 08-Jan-2025 23:55 379K
gkrellm-server-2.3.11-r0.apk 08-Jan-2025 23:55 51K
gl2ps-1.4.2-r0.apk 12-Oct-2025 21:34 37K
gl2ps-dev-1.4.2-r0.apk 12-Oct-2025 21:34 4453
gl2ps-doc-1.4.2-r0.apk 12-Oct-2025 21:34 230K
gl2ps-static-1.4.2-r0.apk 12-Oct-2025 21:34 42K
glfw-wayland-3.3.8-r3.apk 25-Oct-2024 19:01 61K
glfw-wayland-dbg-3.3.8-r3.apk 25-Oct-2024 19:01 195K
glfw-wayland-dev-3.3.8-r3.apk 25-Oct-2024 19:01 46K
gliderlabs-sigil-0.11.0-r11.apk 17-Jan-2026 22:42 3M
gliderlabs-sigil-doc-0.11.0-r11.apk 17-Jan-2026 22:42 2474
glmark2-2023.01-r1.apk 25-Oct-2024 19:01 8M
glmark2-doc-2023.01-r1.apk 25-Oct-2024 19:01 13K
gloox-1.0.28-r0.apk 25-Oct-2024 19:01 364K
gloox-dev-1.0.28-r0.apk 25-Oct-2024 19:01 878K
glow-2.1.1-r6.apk 17-Jan-2026 22:42 6M
glow-bash-completion-2.1.1-r6.apk 17-Jan-2026 22:42 6245
glow-doc-2.1.1-r6.apk 17-Jan-2026 22:42 3268
glow-fish-completion-2.1.1-r6.apk 17-Jan-2026 22:42 4420
glow-zsh-completion-2.1.1-r6.apk 17-Jan-2026 22:42 4140
glslviewer-3.2.4-r2.apk 28-Aug-2025 20:04 2M
gmcapsule-0.9.8-r0.apk 07-Oct-2025 09:39 36K
gmcapsule-openrc-0.9.8-r0.apk 07-Oct-2025 09:39 2029
gmcapsule-pyc-0.9.8-r0.apk 07-Oct-2025 09:39 61K
gmenuharness-0.1.4-r2.apk 22-Feb-2025 14:38 37K
gmenuharness-dev-0.1.4-r2.apk 22-Feb-2025 14:38 4164
gmic-3.6.0-r2.apk 03-Jan-2026 16:55 12M
gmic-bash-completion-3.6.0-r2.apk 03-Jan-2026 16:55 29K
gmic-dev-3.6.0-r2.apk 03-Jan-2026 16:55 7808
gmic-doc-3.6.0-r2.apk 03-Jan-2026 16:55 223K
gmic-libs-3.6.0-r2.apk 03-Jan-2026 16:55 3M
gmic-qt-3.6.0-r2.apk 03-Jan-2026 16:55 2M
gmid-2.1.1-r1.apk 12-Jan-2026 13:47 220K
gmid-doc-2.1.1-r1.apk 12-Jan-2026 13:47 14K
gmid-openrc-2.1.1-r1.apk 12-Jan-2026 13:47 2314
gmsh-4.15.0-r0.apk 01-Nov-2025 00:21 9M
gmsh-dbg-4.15.0-r0.apk 01-Nov-2025 00:21 151M
gmsh-doc-4.15.0-r0.apk 01-Nov-2025 00:21 2M
gmsh-py-4.15.0-r0.apk 01-Nov-2025 00:21 6902
gnome-common-3.18.0-r3.apk 25-Oct-2024 19:01 12K
gnome-latex-3.49.0-r0.apk 07-Jan-2026 00:06 363K
gnome-latex-doc-3.49.0-r0.apk 07-Jan-2026 00:06 110K
gnome-latex-lang-3.49.0-r0.apk 07-Jan-2026 00:06 530K
gnome-mahjongg-49.1.1-r0.apk 27-Jan-2026 17:12 2M
gnome-mahjongg-doc-49.1.1-r0.apk 27-Jan-2026 17:12 2251
gnome-mahjongg-lang-49.1.1-r0.apk 27-Jan-2026 17:12 172K
gnome-metronome-1.3.0-r0.apk 25-Oct-2024 19:01 458K
gnome-metronome-lang-1.3.0-r0.apk 25-Oct-2024 19:01 25K
gnome-mimeapps-0.1-r1.apk 09-Aug-2025 16:27 3783
gnome-network-displays-0.99.0-r0.apk 29-Jan-2026 13:02 88K
gnome-network-displays-daemon-0.99.0-r0.apk 29-Jan-2026 13:02 151K
gnome-network-displays-firewalld-0.99.0-r0.apk 29-Jan-2026 13:02 1947
gnome-network-displays-lang-0.99.0-r0.apk 29-Jan-2026 13:02 36K
gnu-apl-1.9-r0.apk 25-Oct-2024 19:01 1M
gnu-apl-dev-1.9-r0.apk 25-Oct-2024 19:01 589K
gnu-apl-doc-1.9-r0.apk 25-Oct-2024 19:01 2M
gnucobol-3.2-r0.apk 28-Jul-2025 14:02 794K
gnucobol-doc-3.2-r0.apk 28-Jul-2025 14:02 71K
gnucobol-lang-3.2-r0.apk 28-Jul-2025 14:02 316K
go-away-0.7.0-r1.apk 06-Sep-2025 16:20 9M
go-away-openrc-0.7.0-r1.apk 06-Sep-2025 16:20 2319
go-jsonnet-0.21.0-r6.apk 17-Jan-2026 22:42 7M
go-mtpfs-1.0.0-r34.apk 17-Jan-2026 22:42 1M
go-passbolt-cli-0.3.2-r9.apk 17-Jan-2026 22:42 6M
go-tools-0.41.0-r1.apk 17-Jan-2026 22:42 47M
gobang-0.1.0_alpha5-r1.apk 25-Oct-2024 19:01 2M
gobuster-3.8.0-r4.apk 17-Jan-2026 22:42 4M
godini-1.0.0-r6.apk 17-Jan-2026 22:42 2M
godini-doc-1.0.0-r6.apk 17-Jan-2026 22:42 15K
godot-4.5.1-r1.apk 14-Jan-2026 05:56 63M
godot-doc-4.5.1-r1.apk 14-Jan-2026 05:56 4661
godot-templates-4.5.1-r1.apk 14-Jan-2026 05:56 46M
goguma-0.8.0-r4.apk 16-Nov-2025 17:55 5M
gomp-1.0.0-r18.apk 17-Jan-2026 22:42 4M
goomwwm-1.0.0-r5.apk 25-Oct-2024 19:01 46K
goreman-0.3.15-r19.apk 17-Jan-2026 22:42 3M
goshs-1.1.3-r1.apk 17-Jan-2026 22:42 7M
goshs-doc-1.1.3-r1.apk 17-Jan-2026 22:42 2312
gotify-2.7.2-r3.apk 17-Jan-2026 22:42 11M
gotify-cli-2.3.2-r11.apk 17-Jan-2026 22:42 4M
gotify-openrc-2.7.2-r3.apk 17-Jan-2026 22:42 2044
goxel-0.15.1-r0.apk 25-Oct-2024 19:01 2M
gperftools-2.17-r0.apk 05-Aug-2025 13:00 23K
gperftools-dev-2.17-r0.apk 05-Aug-2025 13:00 682K
gperftools-doc-2.17-r0.apk 05-Aug-2025 13:00 247K
gprbuild-25.0.0-r0.apk 29-Oct-2025 02:54 13M
gpsbabel-1.10.0-r0.apk 07-Nov-2025 17:28 1M
gpsbabel-lang-1.10.0-r0.apk 07-Nov-2025 17:28 88K
gpscorrelate-2.3-r0.apk 27-Mar-2025 06:36 51K
gpscorrelate-cli-2.3-r0.apk 27-Mar-2025 06:36 26K
gpscorrelate-doc-2.3-r0.apk 27-Mar-2025 06:36 285K
gpscorrelate-lang-2.3-r0.apk 27-Mar-2025 06:36 17K
gr-satellites-5.5.0-r6.apk 12-Oct-2025 12:09 499K
gr-satellites-dev-5.5.0-r6.apk 12-Oct-2025 12:09 13K
gr-satellites-doc-5.5.0-r6.apk 12-Oct-2025 12:09 4623
gradia-1.11.1-r0.apk 07-Jan-2026 00:06 786K
gradia-dev-1.11.1-r0.apk 07-Jan-2026 00:06 2592
gradia-lang-1.11.1-r0.apk 07-Jan-2026 00:06 64K
grafana-image-renderer-4.0.17-r0.apk 10-Oct-2025 17:54 62M
grafana-image-renderer-openrc-4.0.17-r0.apk 10-Oct-2025 17:54 2030
grcov-0.8.20-r0.apk 11-Nov-2024 10:06 2M
greetd-mini-wl-greeter-0_git20230821-r0.apk 25-Oct-2024 19:01 19K
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 19:01 2249
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk 25-Oct-2024 19:01 3358
grip-4.2.4-r1.apk 29-Jan-2026 11:24 366K
grip-doc-4.2.4-r1.apk 29-Jan-2026 11:24 6333
grip-lang-4.2.4-r1.apk 29-Jan-2026 11:24 144K
grpc-health-check-0.1.1-r3.apk 25-Oct-2024 19:01 1021K
grpc-java-1.78.0-r0.apk 03-Jan-2026 11:25 76K
grpcui-1.5.1-r5.apk 17-Jan-2026 22:42 9M
grpcurl-1.9.3-r9.apk 17-Jan-2026 22:42 9M
gsettings-qt-1.1.0-r0.apk 09-Dec-2025 11:10 28K
gsettings-qt-dev-1.1.0-r0.apk 09-Dec-2025 11:10 3637
gsimplecal-2.5.2-r0.apk 16-Oct-2025 18:48 15K
gsimplecal-doc-2.5.2-r0.apk 16-Oct-2025 18:48 5926
gssdp-1.6.4-r1.apk 09-Aug-2025 16:27 45K
gssdp-dev-1.6.4-r1.apk 09-Aug-2025 16:27 16K
gst-audio-thumbnailer-1.0_alpha3-r0.apk 15-Jan-2026 11:33 268K
gst-thumbnailers-1.0_alpha3-r0.apk 15-Jan-2026 11:33 1300
gst-video-thumbnailer-1.0_alpha3-r0.apk 15-Jan-2026 11:33 282K
gstreamermm-1.10.0-r6.apk 22-Feb-2025 14:38 464K
gstreamermm-dev-1.10.0-r6.apk 22-Feb-2025 14:38 310K
gtimelog-0.12_git20251114-r0.apk 18-Nov-2025 20:53 219K
gtimelog-pyc-0.12_git20251114-r0.apk 18-Nov-2025 20:53 102K
gtk-session-lock-0.2.0-r0.apk 31-Jan-2025 16:16 35K
gtk-session-lock-dev-0.2.0-r0.apk 31-Jan-2025 16:16 5408
gtkhash-1.5-r0.apk 25-Oct-2024 19:01 87K
gtkhash-lang-1.5-r0.apk 25-Oct-2024 19:01 47K
gtklock-4.0.0-r0.apk 31-Jan-2025 16:16 18K
gtklock-doc-4.0.0-r0.apk 31-Jan-2025 16:16 3043
gtkwave-3.3.120-r0.apk 25-Oct-2024 19:01 3M
gtkwave-doc-3.3.120-r0.apk 25-Oct-2024 19:01 27K
gtranslator-49.0-r0.apk 15-Sep-2025 07:46 139K
gtranslator-doc-49.0-r0.apk 15-Sep-2025 07:46 638K
gtranslator-lang-49.0-r0.apk 15-Sep-2025 07:46 593K
gtypist-2.10.1-r0.apk 12-Oct-2025 20:59 635K
gtypist-doc-2.10.1-r0.apk 12-Oct-2025 20:59 104K
gtypist-lang-2.10.1-r0.apk 12-Oct-2025 20:59 26K
guake-3.10.1-r0.apk 24-Nov-2025 18:07 304K
guake-lang-3.10.1-r0.apk 24-Nov-2025 18:07 194K
guake-pyc-3.10.1-r0.apk 24-Nov-2025 18:07 186K
guestfs-tools-1.56.1-r0.apk 22-Jul-2025 22:16 275K
gufw-24.04-r3.apk 19-Nov-2024 21:49 596K
gufw-doc-24.04-r3.apk 19-Nov-2024 21:49 4591
gufw-lang-24.04-r3.apk 19-Nov-2024 21:49 855K
gufw-pyc-24.04-r3.apk 19-Nov-2024 21:49 65K
guish-2.6.11-r0.apk 24-Dec-2024 10:42 99K
guish-doc-2.6.11-r0.apk 24-Dec-2024 10:42 61K
gummiboot-48.1-r11.apk 20-Jul-2025 21:07 45K
gummiboot-doc-48.1-r11.apk 20-Jul-2025 21:07 2999
gummiboot-efistub-48.1-r11.apk 20-Jul-2025 21:07 22K
gupnp-1.6.9-r1.apk 09-Aug-2025 16:27 88K
gupnp-av-0.14.4-r1.apk 09-Aug-2025 16:27 79K
gupnp-av-dev-0.14.4-r1.apk 09-Aug-2025 16:27 42K
gupnp-dev-1.6.9-r1.apk 09-Aug-2025 16:27 50K
gupnp-dlna-0.12.0-r1.apk 09-Aug-2025 16:27 65K
gupnp-dlna-dev-0.12.0-r1.apk 09-Aug-2025 16:27 24K
gupnp-doc-1.6.9-r1.apk 09-Aug-2025 16:27 3895
gx-0.14.3-r36.apk 17-Jan-2026 22:42 5M
gx-doc-0.14.3-r36.apk 17-Jan-2026 22:42 2322
gx-go-1.9.0-r38.apk 17-Jan-2026 22:42 5M
gx-go-doc-1.9.0-r38.apk 17-Jan-2026 22:42 2322
gyosu-0.2.0-r4.apk 17-Jan-2026 22:42 2M
h4h5tools-2.2.5-r4.apk 25-Oct-2024 19:01 108K
h4h5tools-dev-2.2.5-r4.apk 25-Oct-2024 19:01 8966
h4h5tools-doc-2.2.5-r4.apk 25-Oct-2024 19:01 2793
h4h5tools-static-2.2.5-r4.apk 25-Oct-2024 19:01 107K
habitctl-0.1.0-r2.apk 25-Oct-2024 19:01 324K
halp-0.2.0-r0.apk 25-Oct-2024 19:01 1M
halp-bash-completion-0.2.0-r0.apk 25-Oct-2024 19:01 2228
halp-doc-0.2.0-r0.apk 25-Oct-2024 19:01 7044
halp-fish-completion-0.2.0-r0.apk 25-Oct-2024 19:01 2002
halp-zsh-completion-0.2.0-r0.apk 25-Oct-2024 19:01 2463
hamster-time-tracker-3.0.3-r2.apk 25-Oct-2024 19:01 156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk 25-Oct-2024 19:01 2020
hamster-time-tracker-doc-3.0.3-r2.apk 25-Oct-2024 19:01 116K
hamster-time-tracker-lang-3.0.3-r2.apk 25-Oct-2024 19:01 206K
hamster-time-tracker-pyc-3.0.3-r2.apk 25-Oct-2024 19:01 358K
handlebars-1.0.0-r1.apk 25-Oct-2024 19:01 106K
handlebars-dev-1.0.0-r1.apk 25-Oct-2024 19:01 32K
handlebars-utils-1.0.0-r1.apk 25-Oct-2024 19:01 10K
haproxy-dataplaneapi2-2.9.18-r3.apk 17-Jan-2026 22:42 11M
haproxy-dataplaneapi2-openrc-2.9.18-r3.apk 17-Jan-2026 22:42 2181
hardened-malloc-13-r0.apk 25-Oct-2024 19:01 34K
hardinfo2-2.2.13-r0.apk 28-Sep-2025 12:01 3M
hardinfo2-doc-2.2.13-r0.apk 28-Sep-2025 12:01 3077
hardinfo2-lang-2.2.13-r0.apk 28-Sep-2025 12:01 292K
hardinfo2-openrc-2.2.13-r0.apk 28-Sep-2025 12:01 1998
hare-adwaita-0.1.0-r0.apk 25-Aug-2025 17:31 90K
hare-gi-0.1.0-r0.apk 25-Aug-2025 17:31 2M
hare-gtk4-layer-shell-0.1.0-r0.apk 25-Aug-2025 17:31 3881
hare-http-0.25.2.0-r1.apk 09-Aug-2025 16:27 21K
hare-irc-0.25.2.0-r0.apk 25-Jun-2025 07:24 11K
hare-lsp-0.1.0-r0.apk 27-Dec-2025 17:59 535K
hare-madeline-0.1_git20240505-r1.apk 29-Nov-2024 00:08 25K
hare-madeline-doc-0.1_git20240505-r1.apk 29-Nov-2024 00:08 2213
hare-scfg-0.25.2-r0.apk 22-Sep-2025 15:28 4789
haredo-1.0.5-r1.apk 29-Nov-2024 00:08 122K
haredo-doc-1.0.5-r1.apk 29-Nov-2024 00:08 4773
harminv-1.4.2-r1.apk 25-Oct-2024 19:01 7745
harminv-dev-1.4.2-r1.apk 25-Oct-2024 19:01 3218
harminv-doc-1.4.2-r1.apk 25-Oct-2024 19:01 5797
harminv-libs-1.4.2-r1.apk 25-Oct-2024 19:01 14K
harper-1.5.1-r0.apk 29-Jan-2026 00:47 21M
hashcat-6.2.6-r0.apk 25-Oct-2024 19:01 61M
hashcat-doc-6.2.6-r0.apk 25-Oct-2024 19:01 2M
haskell-language-server-2.9.0.0-r0.apk 25-Oct-2024 19:01 68M
hatch-1.16.1-r0.apk 30-Nov-2025 20:01 115K
hatch-pyc-1.16.1-r0.apk 30-Nov-2025 20:01 246K
hatop-0.8.2-r0.apk 25-Oct-2024 19:01 18K
hatop-doc-0.8.2-r0.apk 25-Oct-2024 19:01 3064
hctl-0.2.7-r0.apk 14-May-2025 00:10 1M
hddfancontrol-1.6.2-r0.apk 25-Oct-2024 19:01 33K
hddfancontrol-openrc-1.6.2-r0.apk 25-Oct-2024 19:01 2239
hddfancontrol-pyc-1.6.2-r0.apk 25-Oct-2024 19:01 34K
hdf4-4.2.15-r2.apk 25-Oct-2024 19:01 254K
hdf4-dev-4.2.15-r2.apk 25-Oct-2024 19:01 101K
hdf4-doc-4.2.15-r2.apk 25-Oct-2024 19:01 6153
hdf4-tools-4.2.15-r2.apk 25-Oct-2024 19:01 186K
heh-0.6.3-r0.apk 21-Jan-2026 17:22 490K
heh-doc-0.6.3-r0.apk 21-Jan-2026 17:22 4164
heisenbridge-1.15.4-r0.apk 06-Oct-2025 05:07 67K
heisenbridge-pyc-1.15.4-r0.apk 06-Oct-2025 05:07 155K
helm-diff-3.13.1-r2.apk 17-Jan-2026 22:42 22M
helm-ls-0.5.4-r2.apk 17-Jan-2026 22:42 19M
helm-ls-doc-0.5.4-r2.apk 17-Jan-2026 22:42 2303
helm-mapkubeapis-0.6.1-r2.apk 17-Jan-2026 22:42 22M
helm-unittest-1.0.3-r2.apk 17-Jan-2026 22:42 13M
helmfile-1.1.8-r2.apk 17-Jan-2026 22:42 61M
helmfile-bash-completion-1.1.8-r2.apk 17-Jan-2026 22:42 6255
helmfile-doc-1.1.8-r2.apk 17-Jan-2026 22:42 2303
helmfile-fish-completion-1.1.8-r2.apk 17-Jan-2026 22:42 4431
helmfile-zsh-completion-1.1.8-r2.apk 17-Jan-2026 22:42 4141
helvum-0.5.1-r0.apk 25-Oct-2024 19:01 313K
herbe-1.0.0-r0.apk 25-Oct-2024 19:01 5953
hex-0.6.0-r0.apk 25-Oct-2024 19:01 293K
hexedit-1.6_git20230905-r0.apk 25-Oct-2024 19:01 16K
hexedit-doc-1.6_git20230905-r0.apk 25-Oct-2024 19:01 5728
hfst-3.16.2-r1.apk 14-Jan-2026 05:56 1M
hfst-dev-3.16.2-r1.apk 14-Jan-2026 05:56 209K
hfst-doc-3.16.2-r1.apk 14-Jan-2026 05:56 70K
hfst-libs-3.16.2-r1.apk 14-Jan-2026 05:56 2M
hiawatha-11.6-r1.apk 27-May-2025 11:07 181K
hiawatha-doc-11.6-r1.apk 27-May-2025 11:07 21K
hiawatha-letsencrypt-11.6-r1.apk 27-May-2025 11:07 17K
hiawatha-openrc-11.6-r1.apk 27-May-2025 11:07 1728
hidrd-0.2.0_git20190603-r1.apk 25-Oct-2024 19:01 74K
hidrd-dev-0.2.0_git20190603-r1.apk 25-Oct-2024 19:01 126K
highctidh-1.0.2024092800-r0.apk 25-Nov-2024 20:24 302K
highctidh-dev-1.0.2024092800-r0.apk 25-Nov-2024 20:24 326K
highfive-2.10.1-r0.apk 15-Jan-2025 02:50 75K
hilbish-2.3.4-r11.apk 17-Jan-2026 22:42 4M
hilbish-doc-2.3.4-r11.apk 17-Jan-2026 22:42 25K
himitsu-firefox-0.6-r1.apk 29-Nov-2024 00:08 168K
himitsu-git-0.9.0-r0.apk 20-Aug-2025 16:37 97K
himitsu-keyring-0.2.0-r0.apk 25-Oct-2024 19:01 13K
himitsu-secret-service-0.1_git20250705-r1.apk 20-Oct-2025 13:50 16K
himitsu-secret-service-doc-0.1_git20250705-r1.apk 20-Oct-2025 13:50 4085
himitsu-secret-service-pyc-0.1_git20250705-r1.apk 20-Oct-2025 13:50 29K
himitsu-totp-0.9-r0.apk 06-Sep-2025 16:20 125K
himitsu-totp-doc-0.9-r0.apk 06-Sep-2025 16:20 2425
hiprompt-gtk-0.9-r0.apk 25-Aug-2025 17:31 254K
hiprompt-gtk-py-0.8.0-r1.apk 17-Sep-2025 10:03 8235
homebank-5.9.5-r0.apk 14-Oct-2025 14:54 2M
homebank-lang-5.9.5-r0.apk 14-Oct-2025 14:54 942K
honeybee-0.2.0-r1.apk 08-Jul-2025 23:26 2M
honeybee-doc-0.2.0-r1.apk 08-Jul-2025 23:26 3489
horizon-0.9.6-r9.apk 25-Oct-2024 19:01 199K
horizon-dbg-0.9.6-r9.apk 25-Oct-2024 19:01 4M
horizon-dev-0.9.6-r9.apk 25-Oct-2024 19:01 4998
horizon-doc-0.9.6-r9.apk 25-Oct-2024 19:01 21K
horizon-image-0.9.6-r9.apk 25-Oct-2024 19:01 66K
horizon-tools-0.9.6-r9.apk 25-Oct-2024 19:01 79K
hping3-20051105-r4.apk 25-Oct-2024 19:01 72K
hping3-doc-20051105-r4.apk 25-Oct-2024 19:01 17K
hpnssh-18.8.0-r0.apk 28-Nov-2025 19:38 3M
hpnssh-doc-18.8.0-r0.apk 28-Nov-2025 19:38 101K
hsetroot-1.0.5-r1.apk 25-Oct-2024 19:01 11K
hstdb-2.1.0-r2.apk 25-Oct-2024 19:01 878K
htmlcxx-0.87-r1.apk 25-Oct-2024 19:01 63K
htmlcxx-dev-0.87-r1.apk 25-Oct-2024 19:01 21K
httpie-oauth-1.0.2-r9.apk 25-Oct-2024 19:01 3444
httpie-oauth-pyc-1.0.2-r9.apk 25-Oct-2024 19:01 2365
httplz-2.2.0-r0.apk 18-May-2025 15:38 1M
httplz-doc-2.2.0-r0.apk 18-May-2025 15:38 2309
httpx-1.8.1-r0.apk 22-Jan-2026 18:40 19M
httpx-doc-1.8.1-r0.apk 22-Jan-2026 18:40 2328
httrack-3.49.2-r5.apk 25-Oct-2024 19:01 742K
httrack-doc-3.49.2-r5.apk 25-Oct-2024 19:01 528K
hub-2.14.2-r37.apk 17-Jan-2026 22:42 3M
hub-bash-completion-2.14.2-r37.apk 17-Jan-2026 22:42 4696
hub-doc-2.14.2-r37.apk 17-Jan-2026 22:42 42K
hub-fish-completion-2.14.2-r37.apk 17-Jan-2026 22:42 3383
hub-zsh-completion-2.14.2-r37.apk 17-Jan-2026 22:42 3798
hubble-cli-0.13.6-r11.apk 17-Jan-2026 22:42 18M
hubble-cli-bash-completion-0.13.6-r11.apk 17-Jan-2026 22:42 5191
hubble-cli-fish-completion-0.13.6-r11.apk 17-Jan-2026 22:42 4433
hubble-cli-zsh-completion-0.13.6-r11.apk 17-Jan-2026 22:42 4149
hunspell-ca-es-3.0.7-r1.apk 27-Jan-2026 11:51 734K
hurl-7.1.0-r0.apk 05-Dec-2025 18:41 2M
hurl-bash-completion-7.1.0-r0.apk 05-Dec-2025 18:41 2371
hurl-doc-7.1.0-r0.apk 05-Dec-2025 18:41 9373
hurl-fish-completion-7.1.0-r0.apk 05-Dec-2025 18:41 3715
hurl-zsh-completion-7.1.0-r0.apk 05-Dec-2025 18:41 4210
hw-probe-1.6.6-r2.apk 30-Jun-2025 08:08 124K
hwatch-0.3.11-r0.apk 25-Oct-2024 19:01 1006K
hwatch-doc-0.3.11-r0.apk 25-Oct-2024 19:01 3118
hwatch-fish-completion-0.3.11-r0.apk 25-Oct-2024 19:01 1862
hwatch-zsh-completion-0.3.11-r0.apk 25-Oct-2024 19:01 1975
hx-1.0.15-r0.apk 25-Oct-2024 19:01 14K
hx-doc-1.0.15-r0.apk 25-Oct-2024 19:01 4902
hyfetch-2.0.5-r0.apk 21-Nov-2025 17:34 874K
hyfetch-bash-completion-2.0.5-r0.apk 21-Nov-2025 17:34 3412
hyfetch-doc-2.0.5-r0.apk 21-Nov-2025 17:34 20K
hyfetch-zsh-completion-2.0.5-r0.apk 21-Nov-2025 17:34 2628
hyperrogue-13.1i-r0.apk 19-Dec-2025 03:52 84M
hyperrogue-doc-13.1i-r0.apk 19-Dec-2025 03:52 8172
hypnotix-3.5-r0.apk 25-Oct-2024 19:01 110K
hypnotix-lang-3.5-r0.apk 25-Oct-2024 19:01 72K
hypridle-0.1.7-r1.apk 14-Dec-2025 11:02 138K
hypridle-doc-0.1.7-r1.apk 14-Dec-2025 11:02 2452
hypridle-openrc-0.1.7-r1.apk 14-Dec-2025 11:02 1742
hyprlock-0.9.2-r0.apk 14-Dec-2025 11:02 452K
hyprpicker-0.4.5-r1.apk 14-Dec-2025 11:02 118K
hyprpicker-doc-0.4.5-r1.apk 14-Dec-2025 11:02 3912
hyprsunset-0.3.3-r1.apk 14-Dec-2025 11:02 137K
hyprsunset-doc-0.3.3-r1.apk 14-Dec-2025 11:02 2486
hyprsunset-openrc-0.3.3-r1.apk 14-Dec-2025 11:02 1801
hyx-2024.02.29-r0.apk 25-Oct-2024 19:01 17K
hyx-doc-2024.02.29-r0.apk 25-Oct-2024 19:01 2295
i2util-4.2.1-r1.apk 25-Oct-2024 19:01 20K
i2util-dev-4.2.1-r1.apk 25-Oct-2024 19:01 44K
i2util-doc-4.2.1-r1.apk 25-Oct-2024 19:01 4825
i3bar-river-1.1.0-r1.apk 29-Oct-2025 22:51 511K
i3bar-river-openrc-1.1.0-r1.apk 29-Oct-2025 22:51 1752
i3bar-river-systemd-1.1.0-r1.apk 29-Oct-2025 22:51 1923
i3status-rust-0.34.0-r0.apk 19-Jul-2025 15:30 4M
i3status-rust-doc-0.34.0-r0.apk 19-Jul-2025 15:30 33K
ibus-typing-booster-2.29.0-r0.apk 16-Dec-2025 15:10 13M
ibus-typing-booster-lang-2.29.0-r0.apk 16-Dec-2025 15:10 317K
ibus-typing-booster-pyc-2.29.0-r0.apk 16-Dec-2025 15:10 1M
icesprog-0_git20240108-r1.apk 25-Oct-2024 19:01 8989
icesprog-udev-0_git20240108-r1.apk 25-Oct-2024 19:01 1940
icestorm-0_git20240517-r0.apk 25-Oct-2024 19:01 17M
icingaweb2-module-businessprocess-2.5.2-r0.apk 13-Aug-2025 15:54 110K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk 13-Aug-2025 15:54 2M
icingaweb2-module-fileshipper-1.2.0-r3.apk 25-Oct-2024 19:01 11K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk 25-Oct-2024 19:01 231K
icingaweb2-module-generictts-2.1.0-r0.apk 25-Oct-2024 19:01 6759
icingaweb2-module-generictts-doc-2.1.0-r0.apk 25-Oct-2024 19:01 1864
icingaweb2-module-pnp-1.1.0-r1.apk 25-Oct-2024 19:01 9251
icingaweb2-module-pnp-doc-1.1.0-r1.apk 25-Oct-2024 19:01 1605
identities-0.2.3-r0.apk 03-Jan-2026 16:56 19K
identme-0.6.0-r0.apk 03-Apr-2025 12:33 46K
idesk-1-r1.apk 25-Oct-2024 19:01 70K
ideviceinstaller-1.1.1-r4.apk 30-Oct-2024 22:44 14K
ideviceinstaller-doc-1.1.1-r4.apk 30-Oct-2024 22:44 2511
idevicerestore-1.0.0_git20250914-r0.apk 06-Oct-2025 17:37 99K
idevicerestore-doc-1.0.0_git20250914-r0.apk 06-Oct-2025 17:37 3497
ifuse-1.1.4-r5.apk 30-Oct-2024 22:44 9789
ifuse-doc-1.1.4-r5.apk 30-Oct-2024 22:44 2400
igrep-1.2.0-r0.apk 25-Oct-2024 19:01 2M
igrep-doc-1.2.0-r0.apk 25-Oct-2024 19:01 4260
igt-gpu-tools-2.3-r0.apk 19-Dec-2025 07:45 5M
igt-gpu-tools-dev-2.3-r0.apk 19-Dec-2025 07:45 17K
ijq-1.2.0-r3.apk 17-Jan-2026 22:42 1M
ijq-doc-1.2.0-r3.apk 17-Jan-2026 22:42 3679
imapfilter-2.8.2-r0.apk 25-Oct-2024 19:01 41K
imapfilter-doc-2.8.2-r0.apk 25-Oct-2024 19:01 13K
imapgoose-0.4.1-r2.apk 17-Jan-2026 22:42 3M
imapgoose-doc-0.4.1-r2.apk 17-Jan-2026 22:42 5831
imapgoose-openrc-0.4.1-r2.apk 17-Jan-2026 22:42 1768
imapgoose-systemd-0.4.1-r2.apk 17-Jan-2026 22:42 1822
imediff-2.6-r1.apk 25-Oct-2024 19:01 42K
imediff-doc-2.6-r1.apk 25-Oct-2024 19:01 6686
imediff-pyc-2.6-r1.apk 25-Oct-2024 19:01 44K
imgdiff-1.0.2-r32.apk 17-Jan-2026 22:42 1M
imgdiff-doc-1.0.2-r32.apk 17-Jan-2026 22:42 2308
imrsh-0_git20210320-r1.apk 25-Oct-2024 19:01 8976
imrsh-dbg-0_git20210320-r1.apk 25-Oct-2024 19:01 19K
incus-ui-canonical-0.18.0-r0.apk 22-Aug-2025 12:18 5M
infnoise-0.3.3-r0.apk 26-May-2025 08:58 14K
infnoise-doc-0.3.3-r0.apk 26-May-2025 08:58 4245
infnoise-openrc-0.3.3-r0.apk 26-May-2025 08:58 1770
initify-0_git20171210-r1.apk 25-Oct-2024 19:01 3362
inlyne-0.5.0-r0.apk 22-Dec-2025 02:54 7M
inlyne-bash-completion-0.5.0-r0.apk 22-Dec-2025 02:54 2425
inlyne-doc-0.5.0-r0.apk 22-Dec-2025 02:54 2324
inlyne-fish-completion-0.5.0-r0.apk 22-Dec-2025 02:54 2554
inlyne-zsh-completion-0.5.0-r0.apk 22-Dec-2025 02:54 2777
innernet-1.6.1-r0.apk 25-Oct-2024 19:01 3M
innernet-bash-completion-1.6.1-r0.apk 25-Oct-2024 19:01 3919
innernet-doc-1.6.1-r0.apk 25-Oct-2024 19:01 9253
innernet-fish-completion-1.6.1-r0.apk 25-Oct-2024 19:01 4644
innernet-openrc-1.6.1-r0.apk 25-Oct-2024 19:01 2355
innernet-zsh-completion-1.6.1-r0.apk 25-Oct-2024 19:01 5583
interception-tools-0.6.8-r3.apk 12-Oct-2025 14:19 101K
interception-tools-openrc-0.6.8-r3.apk 12-Oct-2025 14:19 1736
intiface-central-2.6.7-r3.apk 16-Nov-2025 17:55 11M
invidtui-0.4.6-r11.apk 17-Jan-2026 22:42 4M
iotas-0.12.5-r0.apk 18-Nov-2025 20:53 225K
iotas-lang-0.12.5-r0.apk 18-Nov-2025 20:53 160K
iotas-pyc-0.12.5-r0.apk 18-Nov-2025 20:53 348K
ip2location-8.6.1-r0.apk 25-Oct-2024 19:01 25K
ip2location-dev-8.6.1-r0.apk 25-Oct-2024 19:01 12K
ip2location-doc-8.6.1-r0.apk 25-Oct-2024 19:01 2775
ipp-usb-0.9.30-r6.apk 17-Jan-2026 22:42 3M
ipp-usb-doc-0.9.30-r6.apk 17-Jan-2026 22:42 9028
ipp-usb-openrc-0.9.30-r6.apk 17-Jan-2026 22:42 1770
iprange-1.0.4-r1.apk 25-Oct-2024 19:01 20K
iprange-doc-1.0.4-r1.apk 25-Oct-2024 19:01 4642
irccd-4.0.3-r0.apk 25-Oct-2024 19:01 259K
irccd-dev-4.0.3-r0.apk 25-Oct-2024 19:01 9855
irccd-doc-4.0.3-r0.apk 25-Oct-2024 19:01 80K
irccd-openrc-4.0.3-r0.apk 25-Oct-2024 19:01 1867
ircdog-0.5.4-r11.apk 17-Jan-2026 22:42 3M
isoinfo-0_git20131217-r1.apk 25-Oct-2024 19:01 6677
isomd5sum-1.2.5-r0.apk 15-Jul-2025 08:02 20K
isomd5sum-doc-1.2.5-r0.apk 15-Jul-2025 08:02 3048
it87-src-1_p20240609-r0.apk 25-Oct-2024 19:01 30K
jack_capture-0.9.73_git20210429-r2.apk 25-Oct-2024 19:01 31K
jackal-0.64.0-r21.apk 17-Jan-2026 22:42 12M
jackal-openrc-0.64.0-r21.apk 17-Jan-2026 22:42 1878
jackdaw-0.3.1-r2.apk 29-May-2025 12:00 2M
jackdaw-pyc-0.3.1-r2.apk 29-May-2025 12:00 364K
jadx-1.5.3-r0.apk 10-Sep-2025 12:54 111M
jadx-doc-1.5.3-r0.apk 10-Sep-2025 12:54 5649
jalv-1.6.8-r1.apk 25-Oct-2024 19:01 48K
jalv-doc-1.6.8-r1.apk 25-Oct-2024 19:01 3258
jalv-gtk-1.6.8-r1.apk 25-Oct-2024 19:01 32K
jaq-2.3.0-r0.apk 20-Jul-2025 10:54 791K
jaq-doc-2.3.0-r0.apk 20-Jul-2025 10:54 2290
java-asmtools-8.0.09-r0.apk 25-Oct-2024 19:01 574K
java-asmtools-doc-8.0.09-r0.apk 25-Oct-2024 19:01 7072
java-gdcm-3.2.2-r3.apk 02-Dec-2025 06:13 618K
java-jtharness-6.0_p12-r0.apk 25-Oct-2024 19:01 4M
java-jtharness-doc-6.0_p12-r0.apk 25-Oct-2024 19:01 12K
java-jtharness-examples-6.0_p12-r0.apk 25-Oct-2024 19:01 219K
java-jtreg-8.2.1_p1-r0.apk 29-Jan-2026 06:11 5M
jbigkit-2.1-r2.apk 25-Oct-2024 19:01 67K
jbigkit-dev-2.1-r2.apk 25-Oct-2024 19:01 30K
jbigkit-doc-2.1-r2.apk 25-Oct-2024 19:01 7514
jdebp-redo-1.4-r1.apk 25-Oct-2024 19:01 93K
jdebp-redo-doc-1.4-r1.apk 25-Oct-2024 19:01 12K
jdupes-1.28.0-r0.apk 25-Oct-2024 19:01 27K
jdupes-doc-1.28.0-r0.apk 25-Oct-2024 19:01 9208
jedi-language-server-0.45.1-r0.apk 28-Apr-2025 22:57 32K
jedi-language-server-pyc-0.45.1-r0.apk 28-Apr-2025 22:57 50K
jellyfin-desktop-2.0.0-r0.apk 19-Jan-2026 02:24 561K
jfrog-cli-2.45.0-r18.apk 17-Jan-2026 22:42 10M
jhead-3.08-r0.apk 25-Oct-2024 19:01 31K
jhead-doc-3.08-r0.apk 25-Oct-2024 19:01 8092
jotdown-0.7.0-r0.apk 12-Mar-2025 01:07 223K
jreleaser-1.22.0-r0.apk 31-Dec-2025 15:51 41M
jreleaser-doc-1.22.0-r0.apk 31-Dec-2025 15:51 6076
jrsonnet-cli-0.4.2-r1.apk 25-Oct-2024 19:01 560K
jsmn-1.1.0-r2.apk 25-Oct-2024 19:01 4836
json2tsv-1.2-r0.apk 25-Oct-2024 19:01 6574
json2tsv-doc-1.2-r0.apk 25-Oct-2024 19:01 5369
json2tsv-jaq-1.2-r0.apk 25-Oct-2024 19:01 1951
json2tsv-jaq-doc-1.2-r0.apk 25-Oct-2024 19:01 2389
jsonnet-bundler-0.6.0-r11.apk 17-Jan-2026 22:42 3M
jsonnet-language-server-0.16.0-r2.apk 17-Jan-2026 22:42 5M
junit2html-31.0.2-r0.apk 25-Oct-2024 19:01 17K
junit2html-pyc-31.0.2-r0.apk 25-Oct-2024 19:01 24K
jupyterlab3-3.6.8-r1.apk 09-Aug-2025 16:27 14M
jwt-cli-6.2.0-r0.apk 14-Dec-2024 18:18 1008K
k3sup-0.13.6-r11.apk 17-Jan-2026 22:42 3M
k3sup-bash-completion-0.13.6-r11.apk 17-Jan-2026 22:42 5139
k3sup-fish-completion-0.13.6-r11.apk 17-Jan-2026 22:42 4374
k3sup-zsh-completion-0.13.6-r11.apk 17-Jan-2026 22:42 4093
kabmat-2.7.0-r0.apk 25-Oct-2024 19:01 57K
kabmat-doc-2.7.0-r0.apk 25-Oct-2024 19:01 3622
kanidm-1.8.5-r1.apk 11-Jan-2026 18:31 1305
kanidm-bash-completion-1.8.5-r1.apk 11-Jan-2026 18:31 27K
kanidm-clients-1.8.5-r1.apk 11-Jan-2026 18:31 4M
kanidm-openrc-1.8.5-r1.apk 11-Jan-2026 18:31 2113
kanidm-server-1.8.5-r1.apk 11-Jan-2026 18:31 15M
kanidm-unixd-clients-1.8.5-r1.apk 11-Jan-2026 18:31 8M
kanidm-zsh-completion-1.8.5-r1.apk 11-Jan-2026 18:31 37K
kapow-0.7.1-r19.apk 17-Jan-2026 22:42 4M
katana-1.4.0-r0.apk 22-Jan-2026 03:12 17M
katana-doc-1.4.0-r0.apk 22-Jan-2026 03:12 2308
katarakt-0.3-r0.apk 05-Jan-2026 20:12 118K
kbs2-0.7.3-r0.apk 30-Jul-2025 07:28 1M
kbs2-bash-completion-0.7.3-r0.apk 30-Jul-2025 07:28 3307
kbs2-fish-completion-0.7.3-r0.apk 30-Jul-2025 07:28 3526
kbs2-zsh-completion-0.7.3-r0.apk 30-Jul-2025 07:28 4141
kcbench-0.9.14-r0.apk 07-Jan-2026 16:37 37K
kcbench-doc-0.9.14-r0.apk 07-Jan-2026 16:37 20K
kdiskmark-3.2.0-r0.apk 30-Jun-2025 16:46 171K
kdiskmark-lang-3.2.0-r0.apk 30-Jun-2025 16:46 32K
keepsecret-1.0.0-r0.apk 15-Dec-2025 16:22 134K
keepsecret-lang-1.0.0-r0.apk 15-Dec-2025 16:22 27K
kerberoast-0.2.0-r2.apk 29-May-2025 12:00 9550
kerberoast-pyc-0.2.0-r2.apk 29-May-2025 12:00 15K
kew-3.7.3-r0.apk 07-Jan-2026 00:06 583K
kew-doc-3.7.3-r0.apk 07-Jan-2026 00:06 3762
keybase-client-6.5.1-r0.apk 29-Jan-2026 15:54 19M
keyboard-backlight-1.4.0_git20220127-r0.apk 24-Jan-2026 19:30 132K
keydb-6.3.4-r0.apk 25-Oct-2024 19:01 1M
keydb-benchmark-6.3.4-r0.apk 25-Oct-2024 19:01 372K
keydb-cli-6.3.4-r0.apk 25-Oct-2024 19:01 367K
keydb-openrc-6.3.4-r0.apk 25-Oct-2024 19:01 2677
keystone-0.9.2-r6.apk 25-Oct-2024 19:01 1M
keystone-dev-0.9.2-r6.apk 25-Oct-2024 19:01 7484
keystone-python-0.9.2-r6.apk 25-Oct-2024 19:01 2M
keystone-python-pyc-0.9.2-r6.apk 25-Oct-2024 19:01 9907
kfc-0.1.4-r0.apk 25-Oct-2024 19:01 58K
khinsider-2.0.7-r26.apk 17-Jan-2026 22:42 4M
khronos-4.0.1-r0.apk 25-Oct-2024 19:01 53K
khronos-lang-4.0.1-r0.apk 25-Oct-2024 19:01 26K
kiesel-0_git20260112-r0.apk 12-Jan-2026 23:10 6M
kiesel-doc-0_git20260112-r0.apk 12-Jan-2026 23:10 3805
kile-3.0_beta4-r0.apk 27-Oct-2025 14:05 2M
kile-doc-3.0_beta4-r0.apk 27-Oct-2025 14:05 5M
kile-lang-3.0_beta4-r0.apk 27-Oct-2025 14:05 3M
kimchi-3.0.0-r8.apk 22-Feb-2025 14:38 529K
kimchi-lang-3.0.0-r8.apk 22-Feb-2025 14:38 172K
kimchi-pyc-3.0.0-r8.apk 22-Feb-2025 14:38 476K
kine-0.10.1-r19.apk 17-Jan-2026 22:42 8M
kine-doc-0.10.1-r19.apk 17-Jan-2026 22:42 5275
kirc-0.3.3-r0.apk 22-Feb-2025 15:08 15K
kirc-doc-0.3.3-r0.apk 22-Feb-2025 15:08 2845
kismet-0.202509.1-r0.apk 09-Oct-2025 07:23 12M
kismet-linux-bluetooth-0.202509.1-r0.apk 09-Oct-2025 07:23 46K
kismet-linux-wifi-0.202509.1-r0.apk 09-Oct-2025 07:23 65K
kismet-logtools-0.202509.1-r0.apk 09-Oct-2025 07:23 1M
kismet-nrf-51822-0.202509.1-r0.apk 09-Oct-2025 07:23 44K
kismet-nxp-kw41z-0.202509.1-r0.apk 09-Oct-2025 07:23 46K
kjv-0_git20221103-r0.apk 25-Oct-2024 19:01 2M
klevernotes-1.1.0-r0.apk 25-Oct-2024 19:01 2M
klevernotes-lang-1.1.0-r0.apk 25-Oct-2024 19:01 142K
klong-20221212-r0.apk 07-Jun-2025 15:16 333K
kmscon-9.2.1-r0.apk 09-Jan-2026 16:03 864K
kmscon-doc-9.2.1-r0.apk 09-Jan-2026 16:03 11K
kmscon-systemd-9.2.1-r0.apk 09-Jan-2026 16:03 2768
knative-client-1.19.6-r2.apk 17-Jan-2026 22:42 24M
knative-client-bash-completion-1.19.6-r2.apk 17-Jan-2026 22:42 10K
knative-client-fish-completion-1.19.6-r2.apk 17-Jan-2026 22:42 4408
knative-client-zsh-completion-1.19.6-r2.apk 17-Jan-2026 22:42 4130
knxd-0.14.61-r1.apk 14-Dec-2024 21:23 397K
knxd-dev-0.14.61-r1.apk 14-Dec-2024 21:23 24K
ko-0.17.1-r11.apk 17-Jan-2026 22:42 11M
ko-bash-completion-0.17.1-r11.apk 17-Jan-2026 22:42 5163
ko-fish-completion-0.17.1-r11.apk 17-Jan-2026 22:42 4393
ko-zsh-completion-0.17.1-r11.apk 17-Jan-2026 22:42 4114
kodaskanna-0.2.2-r0.apk 20-Jan-2025 08:16 51K
kodaskanna-lang-0.2.2-r0.apk 20-Jan-2025 08:16 23K
kodi-audioencoder-flac-20.2.0-r1.apk 25-Oct-2024 19:01 43K
kodi-audioencoder-lame-20.3.0-r1.apk 25-Oct-2024 19:01 92K
kodi-audioencoder-vorbis-20.2.0-r1.apk 25-Oct-2024 19:01 35K
kodi-audioencoder-wav-20.2.0-r1.apk 25-Oct-2024 19:01 27K
kodi-game-libretro-20.1.0-r0.apk 25-Oct-2024 19:01 117K
kodi-game-libretro-atari800-3.1.0.28-r0.apk 25-Oct-2024 19:01 241K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk 25-Oct-2024 19:01 15K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk 25-Oct-2024 19:01 15K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk 25-Oct-2024 19:01 17K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0..> 25-Oct-2024 19:01 21K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk 25-Oct-2024 19:01 54K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk 25-Oct-2024 19:01 64K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk 25-Oct-2024 19:01 20K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-..> 25-Oct-2024 19:01 20K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk 25-Oct-2024 19:01 16K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk 25-Oct-2024 19:01 18K
kodi-game-libretro-desmume-0.0.1.28-r0.apk 25-Oct-2024 19:01 64K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk 25-Oct-2024 19:01 74K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk 25-Oct-2024 19:01 180K
kodi-game-libretro-frodo-0.0.1.25-r0.apk 25-Oct-2024 19:01 160K
kodi-game-libretro-mame2000-0.37.0.32-r0.apk 25-Oct-2024 19:01 326K
kodi-game-libretro-mame2003-0.78.0.54-r0.apk 25-Oct-2024 19:01 1M
kodi-game-libretro-mgba-0.11.0.44-r0.apk 25-Oct-2024 19:01 19K
kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk 25-Oct-2024 19:01 127K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk 25-Oct-2024 19:01 23K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk 25-Oct-2024 19:01 26K
kodi-game-libretro-theodore-0.0.1.32-r0.apk 25-Oct-2024 19:01 16K
kodi-inputstream-adaptive-21.5.9-r0.apk 02-Mar-2025 16:15 1M
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk 02-Mar-2025 16:15 347K
kodi-inputstream-rtmp-21.1.2-r0.apk 02-Mar-2025 16:15 87K
kodi-peripheral-joystick-20.1.9-r0.apk 25-Oct-2024 19:01 215K
kodi-pvr-hts-21.2.6-r0.apk 02-Mar-2025 16:15 298K
kodi-pvr-iptvsimple-21.10.2-r0.apk 02-Mar-2025 16:15 926K
kodi-vfs-libarchive-21.0.2-r0.apk 02-Mar-2025 16:15 111K
kodi-vfs-rar-20.1.0-r1.apk 25-Oct-2024 19:01 401K
kodi-vfs-sacd-20.1.0-r1.apk 25-Oct-2024 19:01 93K
kodi-vfs-sftp-20.2.0-r1.apk 25-Oct-2024 19:01 53K
komikku-1.85.0-r0.apk 22-Aug-2025 08:26 444K
komikku-lang-1.85.0-r0.apk 22-Aug-2025 08:26 284K
komikku-pyc-1.85.0-r0.apk 22-Aug-2025 08:26 798K
kompose-1.31.2-r16.apk 17-Jan-2026 22:42 8M
kompose-bash-completion-1.31.2-r16.apk 17-Jan-2026 22:42 5726
kompose-fish-completion-1.31.2-r16.apk 17-Jan-2026 22:42 4475
kompose-zsh-completion-1.31.2-r16.apk 17-Jan-2026 22:42 6945
kondo-0.8-r0.apk 25-Oct-2024 19:01 692K
kondo-bash-completion-0.8-r0.apk 25-Oct-2024 19:01 2150
kondo-fish-completion-0.8-r0.apk 25-Oct-2024 19:01 2149
kondo-zsh-completion-0.8-r0.apk 25-Oct-2024 19:01 2517
kontainer-1.3.0-r0.apk 28-Jan-2026 19:08 150K
kontainer-lang-1.3.0-r0.apk 28-Jan-2026 19:08 6813
kopia-0.22.3-r1.apk 17-Jan-2026 22:42 17M
kopia-bash-completion-0.22.3-r1.apk 17-Jan-2026 22:42 1807
kopia-zsh-completion-0.22.3-r1.apk 17-Jan-2026 22:42 1828
kops-1.28.4-r16.apk 17-Jan-2026 22:42 36M
kotlin-language-server-1.3.13-r0.apk 03-Apr-2025 00:11 83M
kpatch-0.9.11-r1.apk 17-Nov-2025 13:11 131K
kpatch-doc-0.9.11-r1.apk 17-Nov-2025 13:11 3582
kpatch-systemd-0.9.11-r1.apk 17-Nov-2025 13:11 1821
kraftkit-0.12.3-r2.apk 17-Jan-2026 22:42 31M
kraftkit-bash-completion-0.12.3-r2.apk 17-Jan-2026 22:42 6254
kraftkit-doc-0.12.3-r2.apk 17-Jan-2026 22:42 73K
kraftkit-fish-completion-0.12.3-r2.apk 17-Jan-2026 22:42 4428
kraftkit-zsh-completion-0.12.3-r2.apk 17-Jan-2026 22:42 4142
krita-plugin-gmic-3.2.4.1-r3.apk 25-Oct-2024 19:01 3M
kronosnet-1.32-r0.apk 27-Dec-2025 10:37 71K
kronosnet-dev-1.32-r0.apk 27-Dec-2025 10:37 3M
kronosnet-doc-1.32-r0.apk 27-Dec-2025 10:37 108K
ktx-4.3.2-r1.apk 10-Jun-2025 02:57 1M
ktx-dev-4.3.2-r1.apk 10-Jun-2025 02:57 29K
ktx-libs-4.3.2-r1.apk 10-Jun-2025 02:57 1M
kube-no-trouble-0.7.3-r11.apk 17-Jan-2026 22:42 14M
kubeconform-0.7.0-r4.apk 17-Jan-2026 22:42 4M
kubectl-krew-0.4.5-r8.apk 17-Jan-2026 22:42 5M
kubectl-oidc_login-1.34.2-r2.apk 17-Jan-2026 22:42 6M
kubepug-1.7.1-r16.apk 17-Jan-2026 22:42 17M
kubepug-bash-completion-1.7.1-r16.apk 17-Jan-2026 22:42 5246
kubepug-fish-completion-1.7.1-r16.apk 17-Jan-2026 22:42 4437
kubepug-zsh-completion-1.7.1-r16.apk 17-Jan-2026 22:42 4147
kubeseal-0.34.0-r0.apk 18-Jan-2026 17:59 12M
kubeseal-doc-0.34.0-r0.apk 18-Jan-2026 17:59 5653
kubesplit-0.3.3-r1.apk 25-Oct-2024 19:01 13K
kubesplit-pyc-0.3.3-r1.apk 25-Oct-2024 19:01 13K
kubetail-0.11.0-r0.apk 22-Jan-2026 03:12 33M
kuma-cp-2.10.1-r7.apk 17-Jan-2026 22:42 30M
kuma-cp-openrc-2.10.1-r7.apk 17-Jan-2026 22:42 2201
kuma-dp-2.10.1-r7.apk 17-Jan-2026 22:42 24M
kuma-dp-openrc-2.10.1-r7.apk 17-Jan-2026 22:42 2186
kumactl-2.10.1-r7.apk 17-Jan-2026 22:42 27M
kumactl-bash-completion-2.10.1-r7.apk 17-Jan-2026 22:42 10K
kumactl-fish-completion-2.10.1-r7.apk 17-Jan-2026 22:42 4430
kumactl-zsh-completion-2.10.1-r7.apk 17-Jan-2026 22:42 4132
lavacli-2.4-r0.apk 15-Jun-2025 03:57 49K
lavacli-doc-2.4-r0.apk 15-Jun-2025 03:57 34K
lavacli-pyc-2.4-r0.apk 15-Jun-2025 03:57 88K
laze-0.1.38-r0.apk 20-Jul-2025 20:22 1M
laze-bash-completion-0.1.38-r0.apk 20-Jul-2025 20:22 3221
laze-doc-0.1.38-r0.apk 20-Jul-2025 20:22 3681
laze-fish-completion-0.1.38-r0.apk 20-Jul-2025 20:22 3524
laze-zsh-completion-0.1.38-r0.apk 20-Jul-2025 20:22 3766
lbb-0.10.4-r2.apk 17-Jan-2026 22:42 3M
lbb-doc-0.10.4-r2.apk 17-Jan-2026 22:42 20K
lcalc-2.1.0-r0.apk 03-May-2025 10:05 205K
lcalc-dev-2.1.0-r0.apk 03-May-2025 10:05 51K
lcalc-doc-2.1.0-r0.apk 03-May-2025 10:05 437K
lcalc-libs-2.1.0-r0.apk 03-May-2025 10:05 219K
ldapdomaindump-0.10.0-r0.apk 30-Jul-2025 19:18 18K
ldapdomaindump-pyc-0.10.0-r0.apk 30-Jul-2025 19:18 30K
ledmon-1.0.0-r0.apk 25-Oct-2024 19:01 79K
ledmon-doc-1.0.0-r0.apk 25-Oct-2024 19:01 14K
lefthook-2.0.16-r0.apk 28-Jan-2026 12:00 6M
lefthook-doc-2.0.16-r0.apk 28-Jan-2026 12:00 2294
legume-1.4.2-r15.apk 17-Jan-2026 22:42 2M
legume-doc-1.4.2-r15.apk 17-Jan-2026 22:42 12K
lemmy-0.19.12-r1.apk 14-Oct-2025 14:16 12M
lemmy-localdb-0.19.12-r1.apk 14-Oct-2025 14:16 2482
lemmy-openrc-0.19.12-r1.apk 14-Oct-2025 14:16 1937
lemmy-ui-0.19.12-r0.apk 23-Jun-2025 04:46 52M
lemmy-ui-openrc-0.19.12-r0.apk 23-Jun-2025 04:46 2184
leptosfmt-0.1.33-r0.apk 25-Mar-2025 15:30 1M
leptosfmt-doc-0.1.33-r0.apk 25-Mar-2025 15:30 6188
levmar-dev-2.6-r0.apk 25-Oct-2024 19:01 47K
lfm-3.1-r4.apk 25-Oct-2024 19:01 88K
lfm-doc-3.1-r4.apk 25-Oct-2024 19:01 2831
lfm-pyc-3.1-r4.apk 25-Oct-2024 19:01 134K
lgogdownloader-3.18-r0.apk 31-Dec-2025 21:50 360K
lgogdownloader-doc-3.18-r0.apk 31-Dec-2025 21:50 8662
libabigail-2.8-r0.apk 02-Nov-2025 19:38 1000K
libabigail-bash-completion-2.8-r0.apk 02-Nov-2025 19:38 2890
libabigail-dev-2.8-r0.apk 02-Nov-2025 19:38 1M
libabigail-doc-2.8-r0.apk 02-Nov-2025 19:38 77K
libabigail-tools-2.8-r0.apk 02-Nov-2025 19:38 123K
libandroidfw-0_git20251009-r1.apk 14-Jan-2026 05:56 383K
libandroidfw-dev-0_git20251009-r1.apk 14-Jan-2026 05:56 7321
libantlr3c-3.4-r3.apk 25-Oct-2024 19:01 50K
libantlr3c-dev-3.4-r3.apk 25-Oct-2024 19:01 58K
libantlr4-4.13.2-r0.apk 12-Dec-2024 11:22 462K
libantlr4-dev-4.13.2-r0.apk 12-Dec-2024 11:22 936K
libaudec-0.3.4-r3.apk 25-Oct-2024 19:01 27K
libaudec-dev-0.3.4-r3.apk 25-Oct-2024 19:01 4385
libaudec-static-0.3.4-r3.apk 25-Oct-2024 19:01 31K
libaudec-tools-0.3.4-r3.apk 25-Oct-2024 19:01 28K
libb64-2.0.0.1-r0.apk 25-Oct-2024 19:01 4479
libb64-dev-2.0.0.1-r0.apk 25-Oct-2024 19:01 5846
libb64-doc-2.0.0.1-r0.apk 25-Oct-2024 19:01 8230
libbamf-0.5.6-r1.apk 25-Oct-2024 19:01 149K
libbamf-dev-0.5.6-r1.apk 25-Oct-2024 19:01 6572
libbamf-doc-0.5.6-r1.apk 25-Oct-2024 19:01 31K
libblastrampoline-5.2.0-r0.apk 25-Oct-2024 19:01 330K
libblastrampoline-dev-5.2.0-r0.apk 25-Oct-2024 19:01 96K
libbloom-2.0-r0.apk 25-Oct-2024 19:01 5657
libbloom-dev-2.0-r0.apk 25-Oct-2024 19:01 3567
libbsoncxx-3.8.0-r0.apk 25-Oct-2024 19:01 43K
libbsoncxx-dev-3.8.0-r0.apk 25-Oct-2024 19:01 39K
libcli-1.10.7-r0.apk 25-Oct-2024 19:01 30K
libcob4-3.2-r0.apk 28-Jul-2025 14:02 206K
libcork-0.15.0-r7.apk 25-Oct-2024 19:01 33K
libcork-dev-0.15.0-r7.apk 25-Oct-2024 19:01 30K
libcork-tools-0.15.0-r7.apk 25-Oct-2024 19:01 4466
libcorkipset-1.1.1-r4.apk 25-Oct-2024 19:01 13K
libcorkipset-dev-1.1.1-r4.apk 25-Oct-2024 19:01 8290
libcorkipset-tools-1.1.1-r4.apk 25-Oct-2024 19:01 11K
libcotp-3.1.1-r0.apk 04-Oct-2025 11:04 8109
libcotp-dev-3.1.1-r0.apk 04-Oct-2025 11:04 2588
libctl-4.5.1-r1.apk 25-Oct-2024 19:01 97K
libctl-dev-4.5.1-r1.apk 25-Oct-2024 19:01 39K
libctl-doc-4.5.1-r1.apk 25-Oct-2024 19:01 3090
libcyaml-1.4.2-r0.apk 25-Oct-2024 19:01 20K
libcyaml-dev-1.4.2-r0.apk 25-Oct-2024 19:01 13K
libcyaml-doc-1.4.2-r0.apk 25-Oct-2024 19:01 8853
libcyaml-static-1.4.2-r0.apk 25-Oct-2024 19:01 25K
libdbusaccess-1.0.20-r1.apk 09-Aug-2025 16:27 17K
libdbusaccess-dev-1.0.20-r1.apk 09-Aug-2025 16:27 5121
libdcmtk-3.7.0-r0.apk 28-Dec-2025 20:54 7M
libdng-0.2.1-r0.apk 27-Dec-2024 22:09 11K
libdng-dev-0.2.1-r0.apk 27-Dec-2024 22:09 3273
libdng-doc-0.2.1-r0.apk 27-Dec-2024 22:09 4300
libdng-utils-0.2.1-r0.apk 27-Dec-2024 22:09 5976
libeantic-2.1.0-r2.apk 26-Nov-2025 08:24 77K
libeantic-dev-2.1.0-r2.apk 26-Nov-2025 08:24 18K
libecap-1.0.1-r1.apk 25-Oct-2024 19:01 13K
libecap-dev-1.0.1-r1.apk 25-Oct-2024 19:01 11K
libecap-static-1.0.1-r1.apk 25-Oct-2024 19:01 16K
libemf2svg-1.1.0-r3.apk 06-Oct-2025 11:28 154K
libemf2svg-utils-1.1.0-r3.apk 06-Oct-2025 11:28 17K
liberasurecode-1.6.3-r1.apk 25-Oct-2024 19:01 41K
liberasurecode-dev-1.6.3-r1.apk 25-Oct-2024 19:01 18K
libetebase-0.5.8-r0.apk 27-Sep-2025 19:40 830K
libetebase-dev-0.5.8-r0.apk 27-Sep-2025 19:40 11K
libettercap-0.8.3.1-r3.apk 25-Oct-2024 19:01 195K
libfishsound-1.0.0-r1.apk 25-Oct-2024 19:01 9789
libfishsound-dev-1.0.0-r1.apk 25-Oct-2024 19:01 62K
libfishsound-doc-1.0.0-r1.apk 25-Oct-2024 19:01 75K
libfoma-0.10.0_git20240712-r0.apk 25-Oct-2024 19:01 104K
libfort-0.4.2-r0.apk 25-Oct-2024 19:01 31K
libfort-dev-0.4.2-r0.apk 25-Oct-2024 19:01 17K
libgdcm-3.2.2-r3.apk 02-Dec-2025 06:13 3M
libgivaro-4.2.0-r2.apk 25-Oct-2024 19:01 75K
libgivaro-dev-4.2.0-r2.apk 25-Oct-2024 19:01 244K
libgivaro-static-4.2.0-r2.apk 25-Oct-2024 19:01 90K
libglib-testing-0.1.1-r0.apk 10-May-2025 03:12 12K
libglib-testing-dev-0.1.1-r0.apk 10-May-2025 03:12 5654
libglib-testing-doc-0.1.1-r0.apk 10-May-2025 03:12 25K
libgrapheme-2.0.2-r0.apk 22-Jul-2025 22:16 25K
libgrapheme-dev-2.0.2-r0.apk 22-Jul-2025 22:16 31K
libgrapheme-doc-2.0.2-r0.apk 22-Jul-2025 22:16 21K
libguestfs-1.56.1-r0.apk 22-Jul-2025 22:16 326K
libguestfs-dev-1.56.1-r0.apk 22-Jul-2025 22:16 29K
libguestfs-doc-1.56.1-r0.apk 22-Jul-2025 22:16 569K
libguestfs-static-1.56.1-r0.apk 22-Jul-2025 22:16 444K
libhomfly-1.02_p6-r1.apk 25-Oct-2024 19:01 16K
libhomfly-dev-1.02_p6-r1.apk 25-Oct-2024 19:01 19K
libhwpwm-0.4.4-r0.apk 25-Oct-2024 19:01 5568
libhwpwm-dev-0.4.4-r0.apk 25-Oct-2024 19:01 5966
libhwpwm-doc-0.4.4-r0.apk 25-Oct-2024 19:01 13K
libibumad-1.3.10.2-r3.apk 25-Oct-2024 19:01 16K
libibumad-dev-1.3.10.2-r3.apk 25-Oct-2024 19:01 7760
libibumad-doc-1.3.10.2-r3.apk 25-Oct-2024 19:01 23K
libideviceactivation-1.1.1-r5.apk 30-Oct-2024 22:44 16K
libideviceactivation-dev-1.1.1-r5.apk 30-Oct-2024 22:44 3391
libideviceactivation-doc-1.1.1-r5.apk 30-Oct-2024 22:44 2230
libigraph-1.0.1-r0.apk 30-Dec-2025 13:36 2M
libigraph-dev-1.0.1-r0.apk 30-Dec-2025 13:36 90K
libiio-0.25-r2.apk 25-Oct-2024 19:01 51K
libiio-dev-0.25-r2.apk 25-Oct-2024 19:01 13K
libiio-doc-0.25-r2.apk 25-Oct-2024 19:01 18K
libiio-pyc-0.25-r2.apk 25-Oct-2024 19:01 21K
libiio-tools-0.25-r2.apk 25-Oct-2024 19:01 72K
libinfnoise-0.3.3-r0.apk 26-May-2025 08:58 13K
libirecovery-1.3.0-r0.apk 06-Oct-2025 17:37 24K
libirecovery-dev-1.3.0-r0.apk 06-Oct-2025 17:37 4259
libirecovery-progs-1.3.0-r0.apk 06-Oct-2025 17:37 8198
libiscsi-1.19.0-r2.apk 25-Oct-2024 19:01 60K
libiscsi-dev-1.19.0-r2.apk 25-Oct-2024 19:01 20K
libiscsi-doc-1.19.0-r2.apk 25-Oct-2024 19:01 9503
libiscsi-static-1.19.0-r2.apk 25-Oct-2024 19:01 71K
libiscsi-utils-1.19.0-r2.apk 25-Oct-2024 19:01 86K
libjodycode-3.1.1-r0.apk 25-Oct-2024 19:01 7455
libjodycode-dev-3.1.1-r0.apk 25-Oct-2024 19:01 4317
libjodycode-doc-3.1.1-r0.apk 25-Oct-2024 19:01 3754
liblastfm-qt-1.1.10_git20190823-r3.apk 25-Oct-2024 19:01 160K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk 25-Oct-2024 19:01 20K
libmdbx-0.11.8-r0.apk 25-Oct-2024 19:01 714K
libmdbx-dbg-0.11.8-r0.apk 25-Oct-2024 19:01 3M
libmdbx-dev-0.11.8-r0.apk 25-Oct-2024 19:01 93K
libmdbx-doc-0.11.8-r0.apk 25-Oct-2024 19:01 9066
libmdf-1.0.29-r0.apk 25-Oct-2024 19:01 33K
libmdf-dev-1.0.29-r0.apk 25-Oct-2024 19:01 14K
libmhash-0.9.9.9-r3.apk 25-Oct-2024 19:01 94K
libmhash-dev-0.9.9.9-r3.apk 25-Oct-2024 19:01 105K
libmhash-doc-0.9.9.9-r3.apk 25-Oct-2024 19:01 8347
libmpfi-1.5.4-r2.apk 25-Oct-2024 19:01 34K
libmpfi-dev-1.5.4-r2.apk 25-Oct-2024 19:01 5511
libmpfi-doc-1.5.4-r2.apk 25-Oct-2024 19:01 19K
libmpfi-static-1.5.4-r2.apk 25-Oct-2024 19:01 51K
libmrss-0.19.2-r1.apk 25-Oct-2024 19:01 20K
libmrss-dev-0.19.2-r1.apk 25-Oct-2024 19:01 30K
libmustache-0.5.0-r1.apk 25-Oct-2024 19:01 81K
libmygpo-qt-1.1.0-r2.apk 25-Oct-2024 19:01 82K
libmygpo-qt-dev-1.1.0-r2.apk 25-Oct-2024 19:01 12K
libmysofa-1.3.2-r0.apk 25-Oct-2024 19:01 25K
libmysofa-dev-1.3.2-r0.apk 25-Oct-2024 19:01 7187
libmysofa-tools-1.3.2-r0.apk 25-Oct-2024 19:01 1M
libneo4j-client-2.2.0-r3.apk 25-Oct-2024 19:01 70K
libneo4j-client-dev-2.2.0-r3.apk 25-Oct-2024 19:01 108K
libnest2d-0.4-r7.apk 06-Feb-2025 04:45 1246
libnest2d-dev-0.4-r7.apk 06-Feb-2025 04:45 70K
libnfcdef-1.1.0-r0.apk 02-Jan-2026 17:16 13K
libnfcdef-dev-1.1.0-r0.apk 02-Jan-2026 17:16 6111
libnih-1.0.3-r7.apk 25-Oct-2024 19:01 113K
libnih-dev-1.0.3-r7.apk 25-Oct-2024 19:01 113K
libnih-doc-1.0.3-r7.apk 25-Oct-2024 19:01 2772
libnxml-0.18.3-r0.apk 25-Oct-2024 19:01 19K
libnxml-dev-0.18.3-r0.apk 25-Oct-2024 19:01 27K
libofx-0.10.9-r1.apk 25-Oct-2024 19:01 61K
libofx-dev-0.10.9-r1.apk 25-Oct-2024 19:01 20K
libofx-tools-0.10.9-r1.apk 25-Oct-2024 19:01 103K
liboggz-1.1.1-r2.apk 25-Oct-2024 19:01 96K
liboggz-dev-1.1.1-r2.apk 25-Oct-2024 19:01 176K
liboggz-doc-1.1.1-r2.apk 25-Oct-2024 19:01 134K
libopensles-standalone-0_git20250913-r0.apk 22-Sep-2025 13:31 37K
libopensles-standalone-dbg-0_git20250913-r0.apk 22-Sep-2025 13:31 244K
libopensles-standalone-dev-0_git20250913-r0.apk 22-Sep-2025 13:31 1621
libqofono-0.124-r0.apk 10-Jan-2025 17:03 1251
libqofono-dev-0.124-r0.apk 10-Jan-2025 17:03 42K
libqofono-qt5-0.124-r0.apk 10-Jan-2025 17:03 278K
libqofono-qt6-0.124-r0.apk 10-Jan-2025 17:03 414K
libqtdbusmock-0.9.1-r2.apk 22-Feb-2025 14:39 67K
libqtdbustest-0.4.0-r0.apk 03-Sep-2025 21:08 30K
libre-4.4.0-r0.apk 24-Jan-2026 12:59 287K
libre-dev-4.4.0-r0.apk 24-Jan-2026 12:59 470K
libreoffice-voikko-5.0_git20200127-r0.apk 25-Oct-2024 19:01 45K
librespot-0.8.0-r0.apk 20-Nov-2025 10:14 2M
librespot-openrc-0.8.0-r0.apk 20-Nov-2025 10:14 1915
libresprite-1.2-r0.apk 13-Apr-2025 22:15 15M
libresprite-doc-1.2-r0.apk 13-Apr-2025 22:15 15K
libretro-atari800-0_git20240924-r0.apk 25-Oct-2024 19:01 272K
libretro-beetle-pce-fast-0_git20220205-r0.apk 25-Oct-2024 19:01 436K
libretro-beetle-pcfx-0_git20220409-r0.apk 25-Oct-2024 19:01 318K
libretro-beetle-saturn-0_git20220417-r0.apk 25-Oct-2024 19:01 2M
libretro-beetle-supergrafx-0_git20220218-r0.apk 25-Oct-2024 19:01 439K
libretro-blastem-0_git20210810-r0.apk 25-Oct-2024 19:01 241K
libretro-bluemsx-0_git20240808-r0.apk 25-Oct-2024 19:01 639K
libretro-cannonball-0_git20220309-r6.apk 25-Oct-2024 19:01 242K
libretro-cap32-0_git20220419-r0.apk 25-Oct-2024 19:01 300K
libretro-crocods-0_git20210314-r1.apk 25-Oct-2024 19:01 277K
libretro-daphne-0_git20210108-r2.apk 25-Oct-2024 19:01 584K
libretro-dinothawr-0_git20220401-r0.apk 25-Oct-2024 19:01 136K
libretro-dolphin-0_git20220407-r2.apk 25-Oct-2024 19:01 3M
libretro-fbneo-0_git20220416-r0.apk 25-Oct-2024 19:01 12M
libretro-flycast-0_git20220406-r4.apk 20-Dec-2025 15:23 2M
libretro-freeintv-0_git20220319-r0.apk 25-Oct-2024 19:01 34K
libretro-frodo-0_git20221221-r0.apk 25-Oct-2024 19:01 161K
libretro-fuse-0_git20220417-r0.apk 25-Oct-2024 19:01 854K
libretro-genesis-plus-gx-0_git20230503-r0.apk 25-Oct-2024 19:01 706K
libretro-gme-0_git20240628-r0.apk 25-Oct-2024 19:01 185K
libretro-gong-0_git20220319-r0.apk 25-Oct-2024 19:01 9212
libretro-gw-0_git20220410-r0.apk 25-Oct-2024 19:01 187K
libretro-mame2000-0_git20240701-r0.apk 25-Oct-2024 19:01 3M
libretro-mame2003-0_git20240904-r0.apk 25-Oct-2024 19:01 7M
libretro-mu-0_git20220317-r0.apk 25-Oct-2024 19:01 176K
libretro-neocd-0_git20220325-r1.apk 25-Oct-2024 19:01 453K
libretro-nxengine-0_git20220301-r0.apk 25-Oct-2024 19:01 288K
libretro-openlara-0_git20210121-r0.apk 25-Oct-2024 19:01 516K
libretro-opera-0_git20211214-r0.apk 25-Oct-2024 19:01 179K
libretro-parallel-n64-0_git20220406-r0.apk 25-Oct-2024 19:01 806K
libretro-pcem-0_git20180812-r0.apk 25-Oct-2024 19:01 937K
libretro-pcsx-rearmed-0_git20220409-r0.apk 25-Oct-2024 19:01 540K
libretro-picodrive-0_git20220405-r0.apk 25-Oct-2024 19:01 587K
libretro-pocketcdg-0_git20220327-r0.apk 25-Oct-2024 19:01 84K
libretro-ppsspp-0_git20210516-r15.apk 10-Apr-2025 21:03 2M
libretro-scummvm-0_git20210325-r0.apk 25-Oct-2024 19:01 20M
libretro-snes9x-0_git20240819-r0.apk 25-Oct-2024 19:01 792K
libretro-theodore-3.1-r0.apk 25-Oct-2024 19:01 873K
libretro-tyrquake-0_git20220409-r0.apk 25-Oct-2024 19:01 387K
libretro-xrick-0_git20220331-r0.apk 25-Oct-2024 19:01 118K
libretro-yabause-0_git20210411-r0.apk 25-Oct-2024 19:01 498K
libsbsms-2.3.0-r0.apk 25-Oct-2024 19:01 102K
libsbsms-dev-2.3.0-r0.apk 25-Oct-2024 19:01 120K
libsds-2.0.0-r1.apk 25-Oct-2024 19:01 9911
libsds-dev-2.0.0-r1.apk 25-Oct-2024 19:01 3869
libsemanage-3.6-r1.apk 25-Oct-2024 19:01 93K
libsemanage-dev-3.6-r1.apk 25-Oct-2024 19:01 141K
libsemanage-doc-3.6-r1.apk 25-Oct-2024 19:01 23K
libsemigroups-2.7.3-r1.apk 14-Dec-2024 21:23 799K
libsemigroups-dev-2.7.3-r1.apk 14-Dec-2024 21:23 359K
libsemigroups-static-2.7.3-r1.apk 14-Dec-2024 21:23 2M
libserialport-0.1.1-r1.apk 25-Oct-2024 19:01 20K
libserialport-dev-0.1.1-r1.apk 25-Oct-2024 19:01 35K
libshadowsocks-libev-3.3.5-r4.apk 25-Oct-2024 19:01 48K
libsigrok-0.5.2-r3.apk 25-Oct-2024 19:01 473K
libsigrok-dev-0.5.2-r3.apk 25-Oct-2024 19:01 31K
libsigrokdecode-0.5.3-r4.apk 25-Oct-2024 19:01 335K
libsigrokdecode-dev-0.5.3-r4.apk 25-Oct-2024 19:01 39K
libsimpleble-0.10.4-r0.apk 13-Jan-2026 03:12 391K
libsimpleble-c-0.10.4-r0.apk 13-Jan-2026 03:12 14K
libsimplebluez-0.10.4-r0.apk 13-Jan-2026 03:12 180K
libsirocco-2.1.1-r0.apk 31-Aug-2025 17:36 62K
libsirocco-dev-2.1.1-r0.apk 31-Aug-2025 17:36 2256
libsquish-1.15-r0.apk 29-Dec-2025 17:38 1261
libsquish-dev-1.15-r0.apk 29-Dec-2025 17:38 4870
libsquish-doc-1.15-r0.apk 29-Dec-2025 17:38 2308
libsquish-libs-1.15-r0.apk 29-Dec-2025 17:38 20K
libstirshaken-0_git20240208-r4.apk 28-Apr-2025 19:57 52K
libstirshaken-dev-0_git20240208-r4.apk 28-Apr-2025 19:57 78K
libstirshaken-tools-0_git20240208-r4.apk 28-Apr-2025 19:57 157K
libtcmu-1.6.0-r6.apk 25-Oct-2024 19:01 36K
libtcmu-dev-1.6.0-r6.apk 25-Oct-2024 19:01 1550
libtins-4.5-r2.apk 15-Oct-2025 08:21 323K
libtins-dev-4.5-r2.apk 15-Oct-2025 08:21 138K
libtins-doc-4.5-r2.apk 15-Oct-2025 08:21 2386
libtsm-4.3.0-r0.apk 09-Jan-2026 16:03 25K
libtsm-dev-4.3.0-r0.apk 09-Jan-2026 16:03 8281
libucl-0.9.0-r0.apk 25-Oct-2024 19:01 56K
libucl-dev-0.9.0-r0.apk 25-Oct-2024 19:01 81K
libucl-doc-0.9.0-r0.apk 25-Oct-2024 19:01 9072
libuecc-7-r4.apk 03-Mar-2025 16:06 9440
libuecc-dev-7-r4.apk 03-Mar-2025 16:06 4746
libuninameslist-20230916-r0.apk 25-Oct-2024 19:01 376K
libuninameslist-dev-20230916-r0.apk 25-Oct-2024 19:01 3553
libuninameslist-doc-20230916-r0.apk 25-Oct-2024 19:01 2094
libupstart-2.0.3-r5.apk 25-Oct-2024 19:01 59K
libvalkey-0.2.1-r0.apk 17-Nov-2025 11:56 59K
libvalkey-dev-0.2.1-r0.apk 17-Nov-2025 11:56 339K
libvalkey-tls-0.2.1-r0.apk 17-Nov-2025 11:56 6453
libvdpau-va-gl-0.4.2-r0.apk 25-Oct-2024 19:01 57K
libvisio2svg-0.5.5-r3.apk 25-Oct-2024 19:01 15K
libvisio2svg-dev-0.5.5-r3.apk 25-Oct-2024 19:01 2992
libvisio2svg-utils-0.5.5-r3.apk 25-Oct-2024 19:01 116K
libvmaf-3.0.0-r0.apk 25-Oct-2024 19:01 362K
libvmaf-dev-3.0.0-r0.apk 25-Oct-2024 19:01 215K
libvoikko-4.3.2-r1.apk 25-Oct-2024 19:01 121K
libvoikko-dev-4.3.2-r1.apk 25-Oct-2024 19:01 10K
libvoikko-doc-4.3.2-r1.apk 25-Oct-2024 19:01 5859
libwasmtime-39.0.1-r0.apk 08-Dec-2025 21:02 4M
libwasmtime-static-39.0.1-r0.apk 08-Dec-2025 21:02 7M
libwbxml-0.11.8-r0.apk 25-Oct-2024 19:01 76K
libwbxml-dev-0.11.8-r0.apk 25-Oct-2024 19:01 9178
libwbxml-doc-0.11.8-r0.apk 25-Oct-2024 19:01 28K
libwhich-1.2.0-r0.apk 25-Oct-2024 19:01 4421
libwmiclient-1.3.16-r5.apk 25-Oct-2024 19:01 1491
libwmiclient-dev-1.3.16-r5.apk 25-Oct-2024 19:01 1736
libxml++-5.4.0-r0.apk 22-Feb-2025 14:39 63K
libxml++-dev-5.4.0-r0.apk 22-Feb-2025 14:39 29K
libxo-1.7.5-r0.apk 12-Jan-2025 22:45 177K
libxo-dev-1.7.5-r0.apk 12-Jan-2025 22:45 74K
libxo-doc-1.7.5-r0.apk 12-Jan-2025 22:45 63K
libzrtpcpp-4.7.0-r0.apk 04-Jan-2025 21:55 157K
libzrtpcpp-dev-4.7.0-r0.apk 04-Jan-2025 21:55 38K
libzvbi-0.2.44-r0.apk 12-Mar-2025 01:07 217K
libzvbi-dev-0.2.44-r0.apk 12-Mar-2025 01:07 14K
libzvbi-static-0.2.44-r0.apk 12-Mar-2025 01:07 280K
licenseheaders-0.8.8-r4.apk 25-Oct-2024 19:01 18K
licenseheaders-pyc-0.8.8-r4.apk 25-Oct-2024 19:01 18K
lidarr-3.1.0.4875-r0.apk 13-Dec-2025 17:30 21M
lidarr-openrc-3.1.0.4875-r0.apk 13-Dec-2025 17:30 2092
lima-1.2.1-r2.apk 17-Jan-2026 22:42 11M
lima-bash-completion-1.2.1-r2.apk 17-Jan-2026 22:42 6245
lima-doc-1.2.1-r2.apk 17-Jan-2026 22:42 62K
lima-fish-completion-1.2.1-r2.apk 17-Jan-2026 22:42 4424
lima-guestagent-1.2.1-r2.apk 17-Jan-2026 22:42 14M
lima-zsh-completion-1.2.1-r2.apk 17-Jan-2026 22:42 4135
limkd-0.1.2-r0.apk 25-Oct-2024 19:01 89K
limkd-doc-0.1.2-r0.apk 25-Oct-2024 19:01 3034
limnoria-20240828-r0.apk 25-Oct-2024 19:01 1M
limnoria-doc-20240828-r0.apk 25-Oct-2024 19:01 8600
limnoria-pyc-20240828-r0.apk 25-Oct-2024 19:01 1M
linkchecker-10.6.0-r0.apk 10-Aug-2025 11:39 181K
linkchecker-doc-10.6.0-r0.apk 10-Aug-2025 11:39 39K
linkchecker-pyc-10.6.0-r0.apk 10-Aug-2025 11:39 254K
linkquisition-1.6.1-r11.apk 17-Jan-2026 22:42 13M
linphone-5.3.38-r0.apk 25-Oct-2024 19:01 9M
linphone-dev-5.3.38-r0.apk 25-Oct-2024 19:01 250K
linphone-libs-5.3.38-r0.apk 25-Oct-2024 19:01 3M
linux-apfs-rw-src-0.3.8-r0.apk 25-Oct-2024 19:01 197K
linux-gpib-4.3.7-r0.apk 06-Dec-2025 23:09 61K
linux-gpib-dev-4.3.7-r0.apk 06-Dec-2025 23:09 7852
linux-gpib-doc-4.3.7-r0.apk 06-Dec-2025 23:09 326K
linux-gpib-udev-4.3.7-r0.apk 06-Dec-2025 23:09 2686
linux-timemachine-1.3.2-r0.apk 25-Oct-2024 19:01 5174
linuxkit-1.8.2-r2.apk 17-Jan-2026 22:42 14M
linuxkit-doc-1.8.2-r2.apk 17-Jan-2026 22:42 10K
linuxptp-4.4-r0.apk 17-Nov-2024 17:29 1249
linuxptp-doc-4.4-r0.apk 17-Nov-2024 17:29 38K
linuxptp-hwstamp_ctl-4.4-r0.apk 17-Nov-2024 17:29 4433
linuxptp-nsm-4.4-r0.apk 17-Nov-2024 17:29 32K
linuxptp-phc2sys-4.4-r0.apk 17-Nov-2024 17:29 36K
linuxptp-phc_ctl-4.4-r0.apk 17-Nov-2024 17:29 9678
linuxptp-pmc-4.4-r0.apk 17-Nov-2024 17:29 35K
linuxptp-ptp4l-4.4-r0.apk 17-Nov-2024 17:29 77K
linuxptp-timemaster-4.4-r0.apk 17-Nov-2024 17:29 16K
linuxptp-ts2phc-4.4-r0.apk 17-Nov-2024 17:29 35K
linuxptp-tz2alt-4.4-r0.apk 17-Nov-2024 17:29 19K
linuxwave-0.3.0-r0.apk 26-May-2025 18:25 183K
linuxwave-doc-0.3.0-r0.apk 26-May-2025 18:25 3336
liquibase-4.9.1-r0.apk 25-Oct-2024 19:01 32M
liquibase-doc-4.9.1-r0.apk 25-Oct-2024 19:01 57K
liquid-dsp-1.5.0-r0.apk 25-Oct-2024 19:01 353K
liquid-dsp-dev-1.5.0-r0.apk 25-Oct-2024 19:01 526K
litehtml-0.9-r2.apk 22-Feb-2025 14:39 320K
litehtml-dev-0.9-r2.apk 22-Feb-2025 14:39 42K
litehtml-static-0.9-r2.apk 22-Feb-2025 14:39 511K
litterbox-1.9-r2.apk 12-Sep-2025 22:22 32K
litterbox-doc-1.9-r2.apk 12-Sep-2025 22:22 7381
lizardfs-3.13.0-r17.apk 19-Jun-2025 08:45 106K
lizardfs-bash-completion-3.13.0-r17.apk 19-Jun-2025 08:45 1931
lizardfs-cgi-3.13.0-r17.apk 19-Jun-2025 08:45 31K
lizardfs-cgiserv-3.13.0-r17.apk 19-Jun-2025 08:45 7543
lizardfs-cgiserv-openrc-3.13.0-r17.apk 19-Jun-2025 08:45 2021
lizardfs-chunkserver-3.13.0-r17.apk 19-Jun-2025 08:45 311K
lizardfs-chunkserver-openrc-3.13.0-r17.apk 19-Jun-2025 08:45 1701
lizardfs-client-3.13.0-r17.apk 19-Jun-2025 08:45 1M
lizardfs-doc-3.13.0-r17.apk 19-Jun-2025 08:45 11K
lizardfs-master-3.13.0-r17.apk 19-Jun-2025 08:45 842K
lizardfs-master-openrc-3.13.0-r17.apk 19-Jun-2025 08:45 1685
lizardfs-metalogger-3.13.0-r17.apk 19-Jun-2025 08:45 126K
lizardfs-metalogger-openrc-3.13.0-r17.apk 19-Jun-2025 08:45 1689
lkrg-0.9.6-r1.apk 09-Jan-2025 11:44 105K
lkrg-doc-0.9.6-r1.apk 09-Jan-2025 11:44 22K
lldap-0.6.1-r0.apk 14-Mar-2025 17:12 10M
llmnrd-0.7-r1.apk 25-Oct-2024 19:01 16K
llmnrd-doc-0.7-r1.apk 25-Oct-2024 19:01 3107
llmnrd-openrc-0.7-r1.apk 25-Oct-2024 19:01 1934
lockrun-1.1.3-r1.apk 25-Oct-2024 19:01 5512
log4cpp-1.1.4-r1.apk 25-Oct-2024 19:01 71K
log4cpp-dev-1.1.4-r1.apk 25-Oct-2024 19:01 39K
log4cxx-1.5.0-r0.apk 07-Jan-2026 00:06 517K
log4cxx-dev-1.5.0-r0.apk 07-Jan-2026 00:06 149K
logc-0.5.0-r1.apk 13-Jun-2025 14:12 8150
logc-argp-0.5.0-r1.apk 13-Jun-2025 14:12 16K
logc-config-0.5.0-r1.apk 13-Jun-2025 14:12 5037
logc-czmq-0.1.0-r0.apk 25-Oct-2024 19:01 4008
logc-dev-0.5.0-r1.apk 13-Jun-2025 14:12 8801
logc-libevent-0.1.0-r0.apk 25-Oct-2024 19:01 3394
logc-libs-0.1.0-r0.apk 25-Oct-2024 19:01 1494
logc-libs-dev-0.1.0-r0.apk 25-Oct-2024 19:01 5677
logtop-0.7-r1.apk 09-Aug-2025 16:28 13K
logtop-doc-0.7-r1.apk 09-Aug-2025 16:28 2916
logtop-libs-0.7-r1.apk 09-Aug-2025 16:28 14K
lol-html-1.1.1-r1.apk 25-Oct-2024 19:01 432K
lol-html-dev-1.1.1-r1.apk 25-Oct-2024 19:01 6673
lolcat-1.4-r0.apk 25-Oct-2024 19:01 9068
lomiri-0.5.0-r4.apk 18-Dec-2025 14:24 4M
lomiri-action-api-1.2.1-r0.apk 25-Oct-2025 20:19 77K
lomiri-action-api-dev-1.2.1-r0.apk 25-Oct-2025 20:19 5080
lomiri-api-0.2.3-r0.apk 25-Oct-2025 20:19 31K
lomiri-api-dev-0.2.3-r0.apk 25-Oct-2025 20:19 32K
lomiri-app-launch-0.1.12-r5.apk 18-Dec-2025 14:24 325K
lomiri-app-launch-dev-0.1.12-r5.apk 18-Dec-2025 14:24 20K
lomiri-calculator-app-4.1.0-r0.apk 19-Aug-2025 20:09 381K
lomiri-calculator-app-lang-4.1.0-r0.apk 19-Aug-2025 20:09 41K
lomiri-clock-app-4.1.1-r0.apk 10-May-2025 03:12 220K
lomiri-clock-app-lang-4.1.1-r0.apk 10-May-2025 03:12 456K
lomiri-content-hub-2.2.2-r0.apk 25-Jan-2026 21:15 276K
lomiri-content-hub-dev-2.2.2-r0.apk 25-Jan-2026 21:15 11K
lomiri-content-hub-doc-2.2.2-r0.apk 25-Jan-2026 21:15 1M
lomiri-content-hub-lang-2.2.2-r0.apk 25-Jan-2026 21:15 47K
lomiri-docviewer-app-3.1.2-r0.apk 19-Aug-2025 20:09 221K
lomiri-docviewer-app-doc-3.1.2-r0.apk 19-Aug-2025 20:09 2070
lomiri-docviewer-app-lang-3.1.2-r0.apk 19-Aug-2025 20:09 138K
lomiri-download-manager-0.3.0-r0.apk 09-Dec-2025 11:10 588K
lomiri-download-manager-dev-0.3.0-r0.apk 09-Dec-2025 11:10 17K
lomiri-download-manager-doc-0.3.0-r0.apk 09-Dec-2025 11:10 3M
lomiri-download-manager-lang-0.3.0-r0.apk 09-Dec-2025 11:10 30K
lomiri-filemanager-app-1.1.4-r0.apk 19-Aug-2025 20:09 326K
lomiri-filemanager-app-lang-1.1.4-r0.apk 19-Aug-2025 20:09 180K
lomiri-gallery-app-3.0.2-r2.apk 19-Aug-2025 09:09 4M
lomiri-gallery-app-lang-3.0.2-r2.apk 19-Aug-2025 09:09 106K
lomiri-history-service-0.6-r22.apk 29-Jan-2026 20:05 345K
lomiri-history-service-dev-0.6-r22.apk 29-Jan-2026 20:05 11K
lomiri-indicator-location-25.4.22-r1.apk 17-Nov-2025 13:11 21K
lomiri-indicator-location-lang-25.4.22-r1.apk 17-Nov-2025 13:11 27K
lomiri-indicator-location-systemd-25.4.22-r1.apk 17-Nov-2025 13:11 1824
lomiri-indicator-network-1.1.2-r0.apk 08-Sep-2025 01:00 600K
lomiri-indicator-network-dev-1.1.2-r0.apk 08-Sep-2025 01:00 9763
lomiri-indicator-network-doc-1.1.2-r0.apk 08-Sep-2025 01:00 2109
lomiri-indicator-network-lang-1.1.2-r0.apk 08-Sep-2025 01:00 199K
lomiri-lang-0.5.0-r4.apk 18-Dec-2025 14:24 269K
lomiri-libusermetrics-1.4.0-r0.apk 09-Dec-2025 11:10 211K
lomiri-libusermetrics-dev-1.4.0-r0.apk 09-Dec-2025 11:10 8041
lomiri-libusermetrics-doc-1.4.0-r0.apk 09-Dec-2025 11:10 228K
lomiri-libusermetrics-lang-1.4.0-r0.apk 09-Dec-2025 11:10 45K
lomiri-location-service-3.3.0-r6.apk 15-Jan-2026 18:17 2M
lomiri-location-service-dev-3.3.0-r6.apk 15-Jan-2026 18:17 30K
lomiri-location-service-doc-3.3.0-r6.apk 15-Jan-2026 18:17 2946
lomiri-location-service-lang-3.3.0-r6.apk 15-Jan-2026 18:17 26K
lomiri-location-service-systemd-3.3.0-r6.apk 15-Jan-2026 18:17 2178
lomiri-notifications-1.3.1-r0.apk 10-Jan-2025 10:09 92K
lomiri-schemas-0.1.10-r0.apk 25-Jan-2026 21:15 10K
lomiri-settings-components-1.1.3-r0.apk 25-Oct-2025 20:19 218K
lomiri-settings-components-lang-1.1.3-r0.apk 25-Oct-2025 20:19 108K
lomiri-sounds-25.01-r0.apk 19-Aug-2025 20:09 18M
lomiri-system-settings-1.3.2-r1.apk 14-Jan-2026 05:56 1M
lomiri-system-settings-lang-1.3.2-r1.apk 14-Jan-2026 05:56 846K
lomiri-telephony-service-0.6.2-r3.apk 29-Jan-2026 20:05 981K
lomiri-telephony-service-lang-0.6.2-r3.apk 29-Jan-2026 20:05 109K
lomiri-terminal-app-2.0.5-r0.apk 10-May-2025 03:12 64K
lomiri-terminal-app-doc-2.0.5-r0.apk 10-May-2025 03:12 2727
lomiri-terminal-app-lang-2.0.5-r0.apk 10-May-2025 03:12 91K
lomiri-thumbnailer-3.1.0-r0.apk 09-Dec-2025 11:10 214K
lomiri-thumbnailer-dev-3.1.0-r0.apk 09-Dec-2025 11:10 5229
lomiri-thumbnailer-doc-3.1.0-r0.apk 09-Dec-2025 11:10 124K
lomiri-trust-store-2.0.2-r14.apk 18-Dec-2025 14:24 941K
lomiri-trust-store-dev-2.0.2-r14.apk 18-Dec-2025 14:24 9353
lomiri-trust-store-lang-2.0.2-r14.apk 18-Dec-2025 14:24 28K
lomiri-ui-extras-0.8.0-r0.apk 09-Dec-2025 11:10 248K
lomiri-ui-extras-lang-0.8.0-r0.apk 09-Dec-2025 11:10 52K
lomiri-ui-toolkit-1.3.5902-r0.apk 26-Jan-2026 13:57 1M
lomiri-ui-toolkit-dev-1.3.5902-r0.apk 26-Jan-2026 13:57 170K
lomiri-ui-toolkit-lang-1.3.5902-r0.apk 26-Jan-2026 13:57 99K
lomiri-url-dispatcher-0.1.4-r0.apk 10-May-2025 03:12 38K
lomiri-url-dispatcher-dev-0.1.4-r0.apk 10-May-2025 03:12 3393
lomiri-url-dispatcher-lang-0.1.4-r0.apk 10-May-2025 03:12 26K
lomiri-weather-app-6.0.2-r0.apk 11-Mar-2025 12:02 299K
lomiri-weather-app-lang-6.0.2-r0.apk 11-Mar-2025 12:02 214K
lout-3.42.2-r0.apk 25-Oct-2024 19:01 1M
lout-doc-3.42.2-r0.apk 25-Oct-2024 19:01 453K
lowjs-1.6.2-r2.apk 25-Oct-2024 19:01 1M
lowjs-doc-1.6.2-r2.apk 25-Oct-2024 19:01 3040
lrcalc-2.1-r1.apk 25-Oct-2024 19:01 11K
lrcalc-dev-2.1-r1.apk 25-Oct-2024 19:01 11K
lrcalc-libs-2.1-r1.apk 25-Oct-2024 19:01 26K
lsdvd-0.17-r0.apk 25-Oct-2024 19:01 13K
lsdvd-doc-0.17-r0.apk 25-Oct-2024 19:01 2521
lshell-0.9.18-r12.apk 06-Sep-2025 16:20 36K
lshell-doc-0.9.18-r12.apk 06-Sep-2025 16:20 25K
lshell-pyc-0.9.18-r12.apk 06-Sep-2025 16:20 35K
lsix-1.8.2-r0.apk 25-Oct-2024 19:01 6668
lspmux-0.3.0-r0.apk 07-Nov-2025 17:28 972K
lspmux-doc-0.3.0-r0.apk 07-Nov-2025 17:28 6786
lua-apkbuild-1.1.0-r0.apk 23-Jan-2026 00:25 4602
lua-cmark-0.31.1-r0.apk 20-Jan-2026 12:26 1299
lua-dkjson-2.8-r0.apk 23-Jan-2026 00:28 8789
lua-editorconfig-0.3.0-r0.apk 25-Oct-2024 19:01 1229
lua-fn-0.1.0-r0.apk 25-Oct-2024 19:01 3461
lua-inet-0.2.0-r1.apk 25-Oct-2024 19:01 9346
lua-lcurses-9.0.0-r0.apk 25-Oct-2024 19:01 1172
lua-libmodbus-0.6.1-r0.apk 25-Oct-2024 19:01 1212
lua-libmodbus-doc-0.6.1-r0.apk 25-Oct-2024 19:01 19K
lua-linenoise-0.9-r1.apk 25-Oct-2024 19:01 1201
lua-luastatic-0.0.12-r1.apk 25-Oct-2024 19:01 1501
lua-lupa-1.0-r0.apk 25-Oct-2024 19:01 20K
lua-lut-1.2.1-r0.apk 25-Oct-2024 19:01 89K
lua-markdown-0.33-r0.apk 23-Jan-2026 00:17 11K
lua-markdown-doc-0.33-r0.apk 23-Jan-2026 00:17 2332
lua-mqtt-3.4.3-r0.apk 23-Jan-2026 00:24 26K
lua-pkgbuild-1.0.0-r0.apk 23-Jan-2026 00:22 3701
lua-psl-0.3-r0.apk 25-Oct-2024 19:01 1131
lua-resty-redis-0.29-r0.apk 25-Oct-2024 19:01 5450
lua-resty-upload-0.11-r0.apk 25-Oct-2024 19:01 3706
lua-xml-1.1.3-r2.apk 25-Oct-2024 19:01 1466
lua5.1-cmark-0.31.1-r0.apk 20-Jan-2026 12:26 94K
lua5.1-lcurses-9.0.0-r0.apk 25-Oct-2024 19:01 25K
lua5.1-libguestfs-1.56.1-r0.apk 22-Jul-2025 22:16 82K
lua5.1-libmodbus-0.6.1-r0.apk 25-Oct-2024 19:01 10K
lua5.1-linenoise-0.9-r1.apk 25-Oct-2024 19:01 18K
lua5.1-luacov-html-1.0.0-r1.apk 25-Oct-2024 19:01 413K
lua5.1-luastatic-0.0.12-r1.apk 25-Oct-2024 19:01 78K
lua5.1-mqtt-3.4.3-r0.apk 23-Jan-2026 00:24 1335
lua5.1-psl-0.3-r0.apk 25-Oct-2024 19:01 6563
lua5.1-ubus-2025.10.17-r0.apk 25-Oct-2025 12:57 9099
lua5.1-xml-1.1.3-r2.apk 25-Oct-2024 19:01 23K
lua5.2-cmark-0.31.1-r0.apk 20-Jan-2026 12:26 94K
lua5.2-editorconfig-0.3.0-r0.apk 25-Oct-2024 19:01 4622
lua5.2-libmodbus-0.6.1-r0.apk 25-Oct-2024 19:01 10K
lua5.2-linenoise-0.9-r1.apk 25-Oct-2024 19:01 18K
lua5.2-luacov-html-1.0.0-r1.apk 25-Oct-2024 19:01 413K
lua5.2-luastatic-0.0.12-r1.apk 25-Oct-2024 19:01 9159
lua5.2-psl-0.3-r0.apk 25-Oct-2024 19:01 6444
lua5.2-ubus-2025.10.17-r0.apk 25-Oct-2025 12:57 9111
lua5.2-xml-1.1.3-r2.apk 25-Oct-2024 19:01 23K
lua5.3-cmark-0.31.1-r0.apk 20-Jan-2026 12:26 94K
lua5.3-editorconfig-0.3.0-r0.apk 25-Oct-2024 19:01 4668
lua5.3-linenoise-0.9-r1.apk 25-Oct-2024 19:01 18K
lua5.3-luacov-html-1.0.0-r1.apk 25-Oct-2024 19:01 413K
lua5.3-luastatic-0.0.12-r1.apk 25-Oct-2024 19:01 9171
lua5.3-psl-0.3-r0.apk 25-Oct-2024 19:01 6459
lua5.4-cmark-0.31.1-r0.apk 20-Jan-2026 12:26 94K
lua5.4-editorconfig-0.3.0-r0.apk 25-Oct-2024 19:01 4668
lua5.4-linenoise-0.9-r1.apk 25-Oct-2024 19:01 18K
lua5.4-luastatic-0.0.12-r1.apk 25-Oct-2024 19:01 9239
luacov-html-1.0.0-r1.apk 25-Oct-2024 19:01 1243
luapak-0.1.0_beta5-r0.apk 25-Oct-2024 19:01 36K
luksmeta-9-r0.apk 25-Oct-2024 19:01 13K
luksmeta-dev-9-r0.apk 25-Oct-2024 19:01 3171
luksmeta-doc-9-r0.apk 25-Oct-2024 19:01 5613
lumina-desktop-1.6.2-r0.apk 25-Oct-2024 19:01 1268
lumina-desktop-archiver-1.6.2-r0.apk 25-Oct-2024 19:01 164K
lumina-desktop-core-1.6.2-r0.apk 25-Oct-2024 19:01 9M
lumina-desktop-coreutils-1.6.2-r0.apk 25-Oct-2024 19:01 814K
lumina-desktop-doc-1.6.2-r0.apk 25-Oct-2024 19:01 12K
lumina-desktop-fileinfo-1.6.2-r0.apk 25-Oct-2024 19:01 158K
lumina-desktop-fm-1.6.2-r0.apk 25-Oct-2024 19:01 387K
lumina-desktop-mediaplayer-1.6.2-r0.apk 25-Oct-2024 19:01 197K
lumina-desktop-photo-1.6.2-r0.apk 25-Oct-2024 19:01 123K
lumina-desktop-screenshot-1.6.2-r0.apk 25-Oct-2024 19:01 165K
lumina-desktop-sudo-1.6.2-r0.apk 25-Oct-2024 19:01 95K
lumina-desktop-textedit-1.6.2-r0.apk 25-Oct-2024 19:01 190K
lumins-0.4.0-r2.apk 25-Oct-2024 19:01 659K
lurk-0.3.11-r0.apk 05-Jan-2026 18:46 778K
lurk-doc-0.3.11-r0.apk 05-Jan-2026 18:46 9952
lutgen-1.0.1-r0.apk 20-Nov-2025 10:14 2M
lutgen-bash-completion-1.0.1-r0.apk 20-Nov-2025 10:14 1782
lutgen-doc-1.0.1-r0.apk 20-Nov-2025 10:14 4560
lutgen-fish-completion-1.0.1-r0.apk 20-Nov-2025 10:14 1828
lutgen-zsh-completion-1.0.1-r0.apk 20-Nov-2025 10:14 1751
lutris-0.5.19-r1.apk 16-Sep-2025 18:09 819K
lutris-doc-0.5.19-r1.apk 16-Sep-2025 18:09 2399
lutris-lang-0.5.19-r1.apk 16-Sep-2025 18:09 810K
lutris-pyc-0.5.19-r1.apk 16-Sep-2025 18:09 1M
lv_font_conv-1.5.3-r0.apk 19-Aug-2025 19:54 1M
lv_font_conv-doc-1.5.3-r0.apk 19-Aug-2025 19:54 5098
lwan-0.1-r2.apk 25-Oct-2024 19:01 71K
lwan-dbg-0.1-r2.apk 25-Oct-2024 19:01 396K
lwan-dev-0.1-r2.apk 25-Oct-2024 19:01 10K
lwan-libs-0.1-r2.apk 25-Oct-2024 19:01 70K
lxappearance-0.6.3-r4.apk 14-Jan-2026 15:24 28K
lxappearance-dev-0.6.3-r4.apk 14-Jan-2026 15:24 3254
lxappearance-doc-0.6.3-r4.apk 14-Jan-2026 15:24 2682
lxappearance-lang-0.6.3-r4.apk 14-Jan-2026 15:24 79K
lxqt-wayland-session-0.3.0-r0.apk 21-Nov-2025 03:24 339K
lxqt-wayland-session-doc-0.3.0-r0.apk 21-Nov-2025 03:24 29K
lychee-0.19.1-r0.apk 17-Jun-2025 07:59 3M
lychee-doc-0.19.1-r0.apk 17-Jun-2025 07:59 13K
lynis-3.1.4-r0.apk 29-Jul-2025 08:08 276K
lynis-bash-completion-3.1.4-r0.apk 29-Jul-2025 08:08 3039
lynis-doc-3.1.4-r0.apk 29-Jul-2025 08:08 50K
lyrics-in-terminal-1.7.0-r0.apk 03-Jan-2025 10:09 38K
m2r2-0.3.4-r0.apk 09-Jan-2026 16:03 12K
m2r2-pyc-0.3.4-r0.apk 09-Jan-2026 16:03 15K
macchina-6.4.0-r0.apk 25-Jun-2025 03:45 1008K
macchina-doc-6.4.0-r0.apk 25-Jun-2025 03:45 5794
mage-1.13.0-r23.apk 14-May-2025 00:10 2M
magpie-0.9.4-r0.apk 16-Oct-2025 17:07 2M
magpie-dev-0.9.4-r0.apk 16-Oct-2025 17:07 246K
magpie-lang-0.9.4-r0.apk 16-Oct-2025 17:07 849K
mailctl-0.9.2-r0.apk 25-Oct-2024 19:01 7M
mailctl-bash-completion-0.9.2-r0.apk 25-Oct-2024 19:01 1829
mailctl-doc-0.9.2-r0.apk 25-Oct-2024 19:01 5174
mailctl-fish-completion-0.9.2-r0.apk 25-Oct-2024 19:01 1918
mailctl-zsh-completion-0.9.2-r0.apk 25-Oct-2024 19:01 2005
maildir-rank-addr-1.4.1-r2.apk 17-Jan-2026 22:42 3M
maildir-rank-addr-doc-1.4.1-r2.apk 17-Jan-2026 22:42 2333
maildir2rss-0.0.7-r11.apk 17-Jan-2026 22:42 4M
mailsec-check-0_git20210729-r32.apk 17-Jan-2026 22:42 3M
makeclapman-2.4.4-r11.apk 17-Jan-2026 22:42 1M
makeclapman-doc-2.4.4-r11.apk 17-Jan-2026 22:42 4251
makedumpfile-1.7.8-r0.apk 07-Nov-2025 17:28 189K
makedumpfile-doc-1.7.8-r0.apk 07-Nov-2025 17:28 24K
makedumpfile-openrc-1.7.8-r0.apk 07-Nov-2025 17:28 3224
makeself-2.5.0-r0.apk 25-Oct-2024 19:01 13K
malcontent-0.13.1-r0.apk 03-Sep-2025 21:08 157K
malcontent-dev-0.13.1-r0.apk 03-Sep-2025 21:08 24K
malcontent-doc-0.13.1-r0.apk 03-Sep-2025 21:08 45K
mame-0.251-r0.apk 25-Oct-2024 19:02 96M
mame-arcade-0.251-r0.apk 25-Oct-2024 19:02 68M
mame-common-0.251-r0.apk 25-Oct-2024 19:02 2722
mame-data-0.251-r0.apk 25-Oct-2024 19:02 19M
mame-doc-0.251-r0.apk 25-Oct-2024 19:02 24K
mame-lang-0.251-r0.apk 25-Oct-2024 19:02 1M
mame-mess-0.251-r0.apk 25-Oct-2024 19:02 52M
mame-plugins-0.251-r0.apk 25-Oct-2024 19:02 166K
mame-tools-0.251-r0.apk 25-Oct-2024 19:02 3M
mangal-4.0.6-r24.apk 17-Jan-2026 22:42 11M
mangal-bash-completion-4.0.6-r24.apk 17-Jan-2026 22:42 5112
mangal-fish-completion-4.0.6-r24.apk 17-Jan-2026 22:42 4012
mangal-zsh-completion-4.0.6-r24.apk 17-Jan-2026 22:42 4098
mangowc-0.11.0-r0.apk 21-Jan-2026 09:32 115K
mangr0ve-0.1.2-r0.apk 25-Oct-2024 19:02 2873
mangr0ve-doc-0.1.2-r0.apk 25-Oct-2024 19:02 14K
manifest-tool-2.2.1-r0.apk 23-Jan-2026 17:23 5M
mapnik-4.1.4-r2.apk 27-Jan-2026 11:51 12M
mapnik-dev-4.1.4-r2.apk 27-Jan-2026 11:51 487K
mapnik-doc-4.1.4-r2.apk 27-Jan-2026 11:51 143K
mapserver-8.4.1-r1.apk 04-Dec-2025 22:48 1M
mapserver-dev-8.4.1-r1.apk 04-Dec-2025 22:48 540K
marxan-4.0.7-r1.apk 25-Oct-2024 19:02 541K
masky-0.2.0-r2.apk 29-May-2025 12:00 277K
masky-pyc-0.2.0-r2.apk 29-May-2025 12:00 64K
mat2-0.13.5-r0.apk 16-Sep-2025 19:00 35K
mat2-doc-0.13.5-r0.apk 16-Sep-2025 19:00 7877
mat2-pyc-0.13.5-r0.apk 16-Sep-2025 19:00 54K
materia-20210322-r4.apk 20-Jan-2026 04:56 1714
materia-chromium-20210322-r4.apk 20-Jan-2026 04:56 5825
materia-compact-20210322-r4.apk 20-Jan-2026 04:56 1736
materia-compact-chromium-20210322-r4.apk 20-Jan-2026 04:56 5834
materia-compact-gnome-shell-20210322-r4.apk 20-Jan-2026 04:56 29K
materia-compact-gtk3-20210322-r4.apk 20-Jan-2026 04:56 63K
materia-compact-gtk4-20210322-r4.apk 20-Jan-2026 04:56 43K
materia-dark-20210322-r4.apk 20-Jan-2026 04:56 1732
materia-dark-chromium-20210322-r4.apk 20-Jan-2026 04:56 5843
materia-dark-compact-20210322-r4.apk 20-Jan-2026 04:56 1741
materia-dark-compact-chromium-20210322-r4.apk 20-Jan-2026 04:56 5858
materia-dark-compact-gnome-shell-20210322-r4.apk 20-Jan-2026 04:56 29K
materia-dark-compact-gtk3-20210322-r4.apk 20-Jan-2026 04:56 40K
materia-dark-compact-gtk4-20210322-r4.apk 20-Jan-2026 04:56 29K
materia-dark-gnome-shell-20210322-r4.apk 20-Jan-2026 04:56 29K
materia-dark-gtk3-20210322-r4.apk 20-Jan-2026 04:56 40K
materia-dark-gtk4-20210322-r4.apk 20-Jan-2026 04:56 29K
materia-gnome-shell-20210322-r4.apk 20-Jan-2026 04:56 29K
materia-gtk-theme-20210322-r4.apk 20-Jan-2026 04:56 139K
materia-gtk3-20210322-r4.apk 20-Jan-2026 04:56 63K
materia-gtk4-20210322-r4.apk 20-Jan-2026 04:56 43K
mattermost-desktop-6.0.3-r0.apk 17-Jan-2026 22:42 5M
mautrix-linkedin-0.2512.0-r1.apk 17-Jan-2026 22:42 7M
mautrix-linkedin-doc-0.2512.0-r1.apk 17-Jan-2026 22:42 13K
mautrix-linkedin-openrc-0.2512.0-r1.apk 17-Jan-2026 22:42 2016
mautrix-zulip-0.2511.0-r2.apk 17-Jan-2026 22:42 7M
mautrix-zulip-doc-0.2511.0-r2.apk 17-Jan-2026 22:42 13K
mautrix-zulip-openrc-0.2511.0-r2.apk 17-Jan-2026 22:42 2006
maxima-5.48.1-r9.apk 22-Aug-2025 10:16 25M
maxima-bash-completion-5.48.1-r9.apk 22-Aug-2025 10:16 2386
maxima-doc-5.48.1-r9.apk 22-Aug-2025 10:16 846K
maxima-doc-extra-5.48.1-r9.apk 22-Aug-2025 10:16 10M
maxima-emacs-5.48.1-r9.apk 22-Aug-2025 10:16 111K
mbpfan-2.4.0-r2.apk 27-Jan-2026 05:16 13K
mbpfan-doc-2.4.0-r2.apk 27-Jan-2026 05:16 5399
mbpfan-openrc-2.4.0-r2.apk 27-Jan-2026 05:16 1697
mbrola-3.3-r0.apk 25-Oct-2024 19:02 22K
mcjoin-2.11-r0.apk 25-Oct-2024 19:02 23K
mcjoin-doc-2.11-r0.apk 25-Oct-2024 19:02 54K
md5ha1-0_git20171202-r1.apk 25-Oct-2024 19:02 8972
mdbook-admonish-1.20.0-r0.apk 16-Jun-2025 23:18 1M
mdbook-alerts-0.8.0-r0.apk 26-Sep-2025 12:58 730K
mdbook-katex-0.9.4-r0.apk 17-May-2025 17:30 1M
mdbook-linkcheck-0.7.7-r0.apk 16-May-2025 19:16 3M
mdnsd-0.12-r1.apk 25-Oct-2024 19:02 24K
mdnsd-doc-0.12-r1.apk 25-Oct-2024 19:02 14K
mdnsd-libs-0.12-r1.apk 25-Oct-2024 19:02 18K
mdnsd-openrc-0.12-r1.apk 25-Oct-2024 19:02 2186
mdnsd-static-0.12-r1.apk 25-Oct-2024 19:02 17K
mdp-1.0.18-r0.apk 10-Jul-2025 05:38 16K
mdp-doc-1.0.18-r0.apk 10-Jul-2025 05:38 3964
mediascanner2-0.118-r4.apk 17-Nov-2025 13:11 259K
mediascanner2-systemd-0.118-r4.apk 17-Nov-2025 13:11 1836
mediastreamer2-5.3.112-r1.apk 19-Oct-2025 13:36 359K
mediastreamer2-dev-5.3.112-r1.apk 19-Oct-2025 13:36 110K
mediastreamer2-doc-5.3.112-r1.apk 19-Oct-2025 13:36 108K
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 11-Mar-2025 11:19 11K
mediastreamer2-plugin-x264-20200722-r6.apk 25-Oct-2024 19:02 7837
meep-1.31.0-r1.apk 19-Aug-2025 13:05 642K
meep-dev-1.31.0-r1.apk 19-Aug-2025 13:05 492K
megatools-1.11.5.20250706-r0.apk 30-Jul-2025 15:11 61K
megatools-bash-completion-1.11.5.20250706-r0.apk 30-Jul-2025 15:11 4193
megatools-doc-1.11.5.20250706-r0.apk 30-Jul-2025 15:11 52K
megazeux-2.93d-r0.apk 10-Jun-2025 13:49 1M
megazeux-doc-2.93d-r0.apk 10-Jun-2025 13:49 465K
melange-0.40.2-r0.apk 29-Jan-2026 10:37 12M
melange-bash-completion-0.40.2-r0.apk 29-Jan-2026 10:37 6808
melange-fish-completion-0.40.2-r0.apk 29-Jan-2026 10:37 4432
melange-zsh-completion-0.40.2-r0.apk 29-Jan-2026 10:37 4133
memdump-1.01-r1.apk 25-Oct-2024 19:02 5840
memdump-doc-1.01-r1.apk 25-Oct-2024 19:02 3192
memray-1.19.1-r0.apk 08-Nov-2025 15:41 2M
memray-pyc-1.19.1-r0.apk 08-Nov-2025 15:41 96K
menumaker-0.99.14-r1.apk 25-Oct-2024 19:02 111K
mergerfs-2.41.1-r0.apk 13-Dec-2025 16:07 407K
mergerfs-doc-2.41.1-r0.apk 13-Dec-2025 16:07 3391
metadata-cleaner-2.5.6-r1.apk 29-Jan-2026 07:20 49K
metadata-cleaner-doc-2.5.6-r1.apk 29-Jan-2026 07:20 2M
metadata-cleaner-lang-2.5.6-r1.apk 29-Jan-2026 07:20 66K
metalang99-1.13.3-r0.apk 25-Oct-2024 19:02 54K
microsocks-1.0.5-r0.apk 21-Dec-2025 23:53 8045
microsocks-doc-1.0.5-r0.apk 21-Dec-2025 23:53 4041
microsocks-openrc-1.0.5-r0.apk 21-Dec-2025 23:53 1878
milkytracker-1.04.00-r2.apk 25-Oct-2024 19:02 993K
milkytracker-doc-1.04.00-r2.apk 25-Oct-2024 19:02 50K
mimalloc1-1.9.4-r0.apk 07-Nov-2025 17:28 77K
mimalloc1-debug-1.9.4-r0.apk 07-Nov-2025 17:28 210K
mimalloc1-dev-1.9.4-r0.apk 07-Nov-2025 17:28 512K
mimalloc1-insecure-1.9.4-r0.apk 07-Nov-2025 17:28 70K
mimedefang-3.6-r1.apk 06-Oct-2025 01:15 154K
mimedefang-doc-3.6-r1.apk 06-Oct-2025 01:15 81K
mimeo-2023-r2.apk 25-Oct-2024 19:02 28K
mimeo-pyc-2023-r2.apk 25-Oct-2024 19:02 42K
mingw-w64-libusb-1.0.29-r0.apk 19-Sep-2025 04:51 216K
minidyndns-1.3.0-r3.apk 25-Oct-2024 19:02 12K
minidyndns-doc-1.3.0-r3.apk 25-Oct-2024 19:02 5228
minidyndns-openrc-1.3.0-r3.apk 25-Oct-2024 19:02 1889
minigalaxy-1.4.0-r0.apk 11-Jul-2025 03:36 197K
minigalaxy-pyc-1.4.0-r0.apk 11-Jul-2025 03:36 135K
minikube-1.34.0-r11.apk 17-Jan-2026 22:42 21M
minikube-bash-completion-1.34.0-r11.apk 17-Jan-2026 22:42 10K
minikube-fish-completion-1.34.0-r11.apk 17-Jan-2026 22:42 4753
minikube-zsh-completion-1.34.0-r11.apk 17-Jan-2026 22:42 11K
minimodem-0.24-r1.apk 25-Oct-2024 19:02 21K
minimodem-doc-0.24-r1.apk 25-Oct-2024 19:02 5322
minisatip-1.3.4-r0.apk 25-Oct-2024 19:02 311K
minisatip-openrc-1.3.4-r0.apk 25-Oct-2024 19:02 1943
mint-themes-2.3.7-r1.apk 24-Jan-2026 14:18 5M
mint-themes-doc-2.3.7-r1.apk 24-Jan-2026 14:18 15K
mint-x-icons-1.7.5-r0.apk 01-Dec-2025 06:05 23M
mint-x-icons-doc-1.7.5-r0.apk 01-Dec-2025 06:05 8062
mint-x-theme-2.3.7-r1.apk 24-Jan-2026 14:18 2834
mint-x-theme-gtk3-2.3.7-r1.apk 24-Jan-2026 14:18 597K
mint-x-theme-gtk4-2.3.7-r1.apk 24-Jan-2026 14:18 503K
mint-x-theme-metacity-2.3.7-r1.apk 24-Jan-2026 14:18 6175
mint-x-theme-xfwm4-2.3.7-r1.apk 24-Jan-2026 14:18 31K
mint-y-icons-1.9.1-r0.apk 07-Jan-2026 00:06 73M
mint-y-icons-doc-1.9.1-r0.apk 07-Jan-2026 00:06 12K
mint-y-theme-2.3.7-r1.apk 24-Jan-2026 14:18 3626
mint-y-theme-gtk3-2.3.7-r1.apk 24-Jan-2026 14:18 2M
mint-y-theme-gtk4-2.3.7-r1.apk 24-Jan-2026 14:18 2M
mint-y-theme-metacity-2.3.7-r1.apk 24-Jan-2026 14:18 55K
mint-y-theme-xfwm4-2.3.7-r1.apk 24-Jan-2026 14:18 206K
mir-2.25.2-r1.apk 29-Jan-2026 23:30 2M
mir-demos-2.25.2-r1.apk 29-Jan-2026 23:30 145K
mir-dev-2.25.2-r1.apk 29-Jan-2026 23:30 8M
mir-test-tools-2.25.2-r1.apk 29-Jan-2026 23:30 1M
miracle-wm-0.8.3-r0.apk 31-Dec-2025 16:43 455K
miracle-wm-dev-0.8.3-r0.apk 31-Dec-2025 16:43 15K
mirrorhall-0.1.1-r2.apk 09-Aug-2025 16:28 26K
mjpg-streamer-0_git20210220-r2.apk 14-May-2025 15:03 178K
mkbrr-1.20.0-r0.apk 27-Jan-2026 11:45 4M
mkcert-1.4.4-r25.apk 17-Jan-2026 22:42 2M
mkdocs-bootstrap-1.1.1-r2.apk 25-Oct-2024 19:02 29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk 25-Oct-2024 19:02 1853
mkdocs-bootstrap386-0.0.2-r5.apk 25-Oct-2024 19:02 791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk 25-Oct-2024 19:02 1856
mkdocs-bootstrap4-0.1.5-r5.apk 25-Oct-2024 19:02 260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk 25-Oct-2024 19:02 1852
mkdocs-bootswatch-1.1-r5.apk 25-Oct-2024 19:02 538K
mkdocs-bootswatch-pyc-1.1-r5.apk 25-Oct-2024 19:02 4834
mkdocs-cinder-1.2.0-r5.apk 25-Oct-2024 19:02 249K
mkdocs-cinder-pyc-1.2.0-r5.apk 25-Oct-2024 19:02 1836
mkdocs-cluster-0.0.9-r5.apk 25-Oct-2024 19:02 651K
mkdocs-cluster-pyc-0.0.9-r5.apk 25-Oct-2024 19:02 1858
mkdocs-gitbook-0.0.1-r5.apk 25-Oct-2024 19:02 644K
mkdocs-gitbook-pyc-0.0.1-r5.apk 25-Oct-2024 19:02 1856
mkdocs-ivory-0.4.6-r5.apk 25-Oct-2024 19:02 11K
mkdocs-ivory-pyc-0.4.6-r5.apk 25-Oct-2024 19:02 1848
mkdocs-rtd-dropdown-1.0.2-r5.apk 25-Oct-2024 19:02 248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk 25-Oct-2024 19:02 1857
mkdocs-windmill-1.0.5-r4.apk 25-Oct-2024 19:02 944K
mkdocs-windmill-pyc-1.0.5-r4.apk 25-Oct-2024 19:02 1850
mkdotenv-0.4.9-r3.apk 17-Jan-2026 22:42 973K
mkg3a-0.5.0-r1.apk 25-Oct-2024 19:02 14K
mkg3a-doc-0.5.0-r1.apk 25-Oct-2024 19:02 3130
mkosi-26-r1.apk 27-Dec-2025 17:17 283K
mkosi-doc-26-r1.apk 27-Dec-2025 17:17 75K
mkosi-pyc-26-r1.apk 27-Dec-2025 17:17 438K
mkosi-sandbox-26-r1.apk 27-Dec-2025 17:17 14K
mkrundir-0.4.0-r1.apk 29-Nov-2024 00:08 75K
mktorrent-borg-0.9.9-r1.apk 25-Oct-2024 19:02 10K
mktorrent-borg-doc-0.9.9-r1.apk 25-Oct-2024 19:02 2546
mlxl-0.1-r0.apk 25-Oct-2024 19:02 6151
mm-1.4.2-r1.apk 25-Oct-2024 19:02 8064
mm-common-1.0.7-r0.apk 27-Jun-2025 16:39 414K
mm-common-doc-1.0.7-r0.apk 27-Jun-2025 16:39 33K
mm-dev-1.4.2-r1.apk 25-Oct-2024 19:02 13K
mm-doc-1.4.2-r1.apk 25-Oct-2024 19:02 14K
mmar-0.2.5-r1.apk 14-May-2025 00:10 3M
mmix-0_git20221025-r0.apk 25-Oct-2024 19:02 157K
mml-1.0.0-r1.apk 30-Sep-2025 00:40 875K
mml-bash-completion-1.0.0-r1.apk 30-Sep-2025 00:40 2310
mml-doc-1.0.0-r1.apk 30-Sep-2025 00:40 3884
mml-fish-completion-1.0.0-r1.apk 30-Sep-2025 00:40 2282
mml-zsh-completion-1.0.0-r1.apk 30-Sep-2025 00:40 2849
mnamer-2.5.5-r1.apk 25-Oct-2024 19:02 32K
mnamer-pyc-2.5.5-r1.apk 25-Oct-2024 19:02 60K
mnemosyne-2.10.1-r1.apk 25-Oct-2024 19:02 607K
mnemosyne-lang-2.10.1-r1.apk 25-Oct-2024 19:02 439K
mnemosyne-pyc-2.10.1-r1.apk 25-Oct-2024 19:02 628K
mobpass-0.2-r6.apk 25-Oct-2024 19:02 18K
mobpass-pyc-0.2-r6.apk 25-Oct-2024 19:02 5369
mobroute-0.10.0-r6.apk 17-Jan-2026 22:42 5M
mobroute-doc-0.10.0-r6.apk 17-Jan-2026 22:42 1M
modem-manager-gui-0.0.20-r0.apk 25-Oct-2024 19:02 343K
modem-manager-gui-doc-0.0.20-r0.apk 25-Oct-2024 19:02 4M
modem-manager-gui-lang-0.0.20-r0.apk 25-Oct-2024 19:02 129K
mods-1.8.1-r4.apk 17-Jan-2026 22:42 11M
mods-doc-1.8.1-r4.apk 17-Jan-2026 22:42 2305
moe-1.14-r0.apk 25-Oct-2024 19:02 104K
moe-doc-1.14-r0.apk 25-Oct-2024 19:02 19K
moka-icon-theme-5.4.0-r2.apk 25-Oct-2024 19:02 114M
monetdb-11.33.11-r4.apk 25-Oct-2024 19:02 2M
monetdb-dev-11.33.11-r4.apk 25-Oct-2024 19:02 77K
monetdb-doc-11.33.11-r4.apk 25-Oct-2024 19:02 321K
mongo-cxx-driver-3.8.0-r0.apk 25-Oct-2024 19:02 177K
mongo-cxx-driver-dev-3.8.0-r0.apk 25-Oct-2024 19:02 89K
monopd-0.10.4-r0.apk 11-Jan-2025 11:13 91K
monopd-openrc-0.10.4-r0.apk 11-Jan-2025 11:13 1727
moon-buggy-1.0.51-r1.apk 25-Oct-2024 19:02 36K
moon-buggy-doc-1.0.51-r1.apk 25-Oct-2024 19:02 7306
moosefs-4.56.6-r2.apk 19-Jun-2025 08:45 264K
moosefs-cgi-4.56.6-r2.apk 19-Jun-2025 08:45 121K
moosefs-cgiserv-4.56.6-r2.apk 19-Jun-2025 08:45 8010
moosefs-cgiserv-openrc-4.56.6-r2.apk 19-Jun-2025 08:45 2040
moosefs-chunkserver-4.56.6-r2.apk 19-Jun-2025 08:45 202K
moosefs-chunkserver-openrc-4.56.6-r2.apk 19-Jun-2025 08:45 1721
moosefs-client-4.56.6-r2.apk 19-Jun-2025 08:45 636K
moosefs-doc-4.56.6-r2.apk 19-Jun-2025 08:45 95K
moosefs-master-4.56.6-r2.apk 19-Jun-2025 08:45 364K
moosefs-master-openrc-4.56.6-r2.apk 19-Jun-2025 08:45 1707
moosefs-metalogger-4.56.6-r2.apk 19-Jun-2025 08:45 39K
moosefs-metalogger-openrc-4.56.6-r2.apk 19-Jun-2025 08:45 1713
moosefs-static-4.56.6-r2.apk 19-Jun-2025 08:45 697K
morph-browser-1.99.2-r0.apk 25-Jan-2026 21:15 535K
morph-browser-lang-1.99.2-r0.apk 25-Jan-2026 21:15 344K
motion-4.7.1-r0.apk 27-Sep-2025 21:15 142K
motion-doc-4.7.1-r0.apk 27-Sep-2025 21:15 140K
motion-lang-4.7.1-r0.apk 27-Sep-2025 21:15 471K
motion-openrc-4.7.1-r0.apk 27-Sep-2025 21:15 2272
mp3val-0.1.8-r1.apk 25-Oct-2024 19:02 13K
mpdcron-0.3-r1.apk 25-Oct-2024 19:02 99K
mpdcron-dev-0.3-r1.apk 25-Oct-2024 19:02 55K
mpdcron-doc-0.3-r1.apk 25-Oct-2024 19:02 13K
mpdcron-zsh-completion-0.3-r1.apk 25-Oct-2024 19:02 2952
mpdris2-0.9.1-r3.apk 25-Oct-2024 19:02 15K
mpdris2-doc-0.9.1-r3.apk 25-Oct-2024 19:02 15K
mpdris2-lang-0.9.1-r3.apk 25-Oct-2024 19:02 2391
mpv-sponsorblock-2.2.0-r0.apk 16-Jun-2025 15:16 2M
mqtt2prometheus-0.1.7-r22.apk 17-Jan-2026 22:42 5M
mrsh-0_git20210518-r1.apk 25-Oct-2024 19:02 5830
mrsh-dbg-0_git20210518-r1.apk 25-Oct-2024 19:02 205K
mrsh-dev-0_git20210518-r1.apk 25-Oct-2024 19:02 10K
mrsh-libs-0_git20210518-r1.apk 25-Oct-2024 19:02 59K
msgpuck-2.0-r1.apk 25-Oct-2024 19:02 1204
msgpuck-dev-2.0-r1.apk 25-Oct-2024 19:02 22K
msgpuck-doc-2.0-r1.apk 25-Oct-2024 19:02 7498
msh-2.5.0-r18.apk 17-Jan-2026 22:42 3M
msh-openrc-2.5.0-r18.apk 17-Jan-2026 22:42 2040
mspdebug-0.25-r1.apk 25-Oct-2024 19:02 202K
mspdebug-doc-0.25-r1.apk 25-Oct-2024 19:02 14K
msr-tools-1.3-r1.apk 25-Oct-2024 19:02 10K
mstflint-4.26.0.1-r0.apk 25-Oct-2024 19:02 4M
mstflint-doc-4.26.0.1-r0.apk 25-Oct-2024 19:02 18K
mtail-3.2.26-r2.apk 17-Jan-2026 22:42 14M
mtail-openrc-3.2.26-r2.apk 17-Jan-2026 22:42 2023
mtg-2.1.7-r27.apk 17-Jan-2026 22:42 5M
mtg-openrc-2.1.7-r27.apk 17-Jan-2026 22:42 1912
muse-4.2.1-r2.apk 14-May-2025 00:10 6M
muse-doc-4.2.1-r2.apk 14-May-2025 00:10 4M
musikcube-3.0.5-r0.apk 27-Sep-2025 21:15 2M
musikcube-dev-3.0.5-r0.apk 27-Sep-2025 21:15 19K
musikcube-plugin-all-3.0.5-r0.apk 27-Sep-2025 21:15 1374
musikcube-plugin-httpdatastream-3.0.5-r0.apk 27-Sep-2025 21:15 79K
musikcube-plugin-mpris-3.0.5-r0.apk 27-Sep-2025 21:15 21K
musikcube-plugin-openmpt-3.0.5-r0.apk 27-Sep-2025 21:15 31K
musikcube-plugin-server-3.0.5-r0.apk 27-Sep-2025 21:15 377K
musikcube-plugin-stockencoders-3.0.5-r0.apk 27-Sep-2025 21:15 20K
musikcube-plugin-supereqdsp-3.0.5-r0.apk 27-Sep-2025 21:15 27K
musikcube-plugin-taglibreader-3.0.5-r0.apk 27-Sep-2025 21:15 35K
mxclient-0_git20211002-r1.apk 25-Oct-2024 19:02 76K
n30f-2.0-r3.apk 25-Oct-2024 19:02 7023
naabu-2.4.0-r0.apk 22-Jan-2026 03:12 12M
naabu-doc-2.4.0-r0.apk 22-Jan-2026 03:12 2328
naken_asm-0_git20240726-r0.apk 24-Jan-2026 14:01 947K
naken_asm-dev-0_git20240726-r0.apk 24-Jan-2026 14:01 89K
nano-hare-0_git20231021-r0.apk 25-Oct-2024 19:02 2235
nautilus-python-4.0.1-r0.apk 12-Oct-2025 21:54 9508
nautilus-python-dev-4.0.1-r0.apk 12-Oct-2025 21:54 1746
nautilus-python-doc-4.0.1-r0.apk 12-Oct-2025 21:54 4339
nb-7.24.0-r0.apk 21-Jan-2026 17:04 157K
nb-bash-completion-7.24.0-r0.apk 21-Jan-2026 17:04 3055
nb-doc-7.24.0-r0.apk 21-Jan-2026 17:04 79K
nb-fish-completion-7.24.0-r0.apk 21-Jan-2026 17:04 2856
nb-full-7.24.0-r0.apk 21-Jan-2026 17:04 1326
nb-zsh-completion-7.24.0-r0.apk 21-Jan-2026 17:04 3014
nbsdgames-5-r0.apk 25-Oct-2024 19:02 101K
nbsdgames-doc-5-r0.apk 25-Oct-2024 19:02 9618
ndpi-4.10-r0.apk 25-Oct-2024 19:02 1M
ndpi-dev-4.10-r0.apk 25-Oct-2024 19:02 879K
neard-0.19-r1.apk 18-Jan-2026 19:14 133K
neard-dev-0.19-r1.apk 18-Jan-2026 19:14 11K
neard-doc-0.19-r1.apk 18-Jan-2026 19:14 5698
neard-openrc-0.19-r1.apk 18-Jan-2026 19:14 1743
nemo-gtkhash-plugin-1.5-r0.apk 25-Oct-2024 19:02 23K
neo4j-client-2.2.0-r3.apk 25-Oct-2024 19:02 29K
neo4j-client-doc-2.2.0-r3.apk 25-Oct-2024 19:02 5481
neocmakelsp-0.9.1-r0.apk 10-Jan-2026 06:58 2M
neocmakelsp-bash-completion-0.9.1-r0.apk 10-Jan-2026 06:58 2028
neocmakelsp-doc-0.9.1-r0.apk 10-Jan-2026 06:58 5961
neocmakelsp-fish-completion-0.9.1-r0.apk 10-Jan-2026 06:58 1653
neocmakelsp-zsh-completion-0.9.1-r0.apk 10-Jan-2026 06:58 2032
neofetch-7.1.0-r2.apk 07-Nov-2024 11:08 86K
neofetch-doc-7.1.0-r2.apk 07-Nov-2024 11:08 6329
net-predictable-1.5.1-r6.apk 17-Jan-2026 22:42 990K
net-predictable-doc-1.5.1-r6.apk 17-Jan-2026 22:42 2294
netdiscover-0.21-r0.apk 15-Aug-2025 12:46 459K
netdiscover-doc-0.21-r0.apk 15-Aug-2025 12:46 4303
netscanner-0.5.1-r1.apk 25-Oct-2024 19:02 4M
netscanner-doc-0.5.1-r1.apk 25-Oct-2024 19:02 3410
netsed-1.4-r0.apk 18-Aug-2025 10:15 9991
networkmanager-dmenu-2.6.1-r1.apk 13-Oct-2025 16:13 14K
networkmanager-dmenu-doc-2.6.1-r1.apk 13-Oct-2025 16:13 6958
newlib-esp-0_git20240109-r0.apk 25-Oct-2024 19:02 1556
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk 25-Oct-2024 19:02 4M
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk 25-Oct-2024 19:02 4M
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk 25-Oct-2024 19:02 4M
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk 25-Oct-2024 19:02 4M
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk 25-Oct-2024 19:02 1M
newsyslog-1.2.0.91-r1.apk 25-Oct-2024 19:02 18K
newsyslog-doc-1.2.0.91-r1.apk 25-Oct-2024 19:02 24K
nextpnr-0.7-r0.apk 25-Oct-2024 19:02 1484
nextpnr-ecp5-0.7-r0.apk 25-Oct-2024 19:02 25M
nextpnr-generic-0.7-r0.apk 25-Oct-2024 19:02 744K
nextpnr-gowin-0.7-r0.apk 25-Oct-2024 19:02 1M
nextpnr-ice40-0.7-r0.apk 25-Oct-2024 19:02 69M
nfcd-1.2.4-r0.apk 31-Dec-2025 16:43 336K
nfcd-dev-1.2.4-r0.apk 31-Dec-2025 16:43 25K
nfcd-systemd-1.2.4-r0.apk 31-Dec-2025 16:43 1865
nfoview-2.1-r0.apk 13-Apr-2025 21:31 39K
nfoview-doc-2.1-r0.apk 13-Apr-2025 21:31 8196
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 19:02 713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 19:02 21K
ngs-0.2.14-r0.apk 25-Oct-2024 19:02 293K
ngs-aws-0.2.14-r0.apk 25-Oct-2024 19:02 33K
ngs-vim-0.2.14-r0.apk 25-Oct-2024 19:02 5011
nicotine-plus-3.3.10-r0.apk 01-May-2025 18:06 2M
nicotine-plus-doc-3.3.10-r0.apk 01-May-2025 18:06 2642
nicotine-plus-lang-3.3.10-r0.apk 01-May-2025 18:06 757K
nicotine-plus-pyc-3.3.10-r0.apk 01-May-2025 18:06 789K
nim-bcrypt-0.2.1-r0.apk 24-Jan-2026 13:35 2461
nim-bcrypt-dev-0.2.1-r0.apk 24-Jan-2026 13:35 16K
nim-cligen-1.9.5-r0.apk 24-Jan-2026 13:35 189K
nim-cligen-doc-1.9.5-r0.apk 24-Jan-2026 13:35 2146
nim-nmqtt-1.0.7-r0.apk 24-Jan-2026 13:35 23K
nim-sha2-0.1.1-r0.apk 24-Jan-2026 13:35 6111
nim-sha2-doc-0.1.1-r0.apk 24-Jan-2026 13:35 2320
nitro-2.7_beta8-r2.apk 25-Oct-2024 19:02 503K
nitro-dev-2.7_beta8-r2.apk 25-Oct-2024 19:02 190K
nitro-init-0.7.1-r0.apk 26-Jan-2026 11:49 22K
nitro-init-doc-0.7.1-r0.apk 26-Jan-2026 11:49 4717
nkk-0_git20221010-r0.apk 25-Oct-2024 19:02 15K
nkk-dev-0_git20221010-r0.apk 25-Oct-2024 19:02 2975
nkk-doc-0_git20221010-r0.apk 25-Oct-2024 19:02 7141
nm-tray-0.5.1-r0.apk 11-Sep-2025 17:35 101K
nm-tray-lang-0.5.1-r0.apk 11-Sep-2025 17:35 27K
nmap-parse-output-1.5.1-r1.apk 29-May-2025 12:00 20K
nmap-parse-output-bash-completion-1.5.1-r1.apk 29-May-2025 12:00 2031
nmap-parse-output-doc-1.5.1-r1.apk 29-May-2025 12:00 808K
nmqtt-1.0.7-r0.apk 24-Jan-2026 13:35 830K
nmqtt-doc-1.0.7-r0.apk 24-Jan-2026 13:35 2314
nmqtt-openrc-1.0.7-r0.apk 24-Jan-2026 13:35 2041
noblenote-1.2.1-r1.apk 25-Oct-2024 19:02 400K
node-libpg-query-13.1.2-r5.apk 25-Oct-2024 19:02 18K
noggin-0.1-r24.apk 17-Jan-2026 22:42 1M
noggin-doc-0.1-r24.apk 17-Jan-2026 22:42 2977
noggin-model-0.1-r0.apk 25-Oct-2024 19:02 12M
noggin-model-lightweight-0.1-r0.apk 25-Oct-2024 19:02 2M
noice-0.8-r1.apk 25-Oct-2024 19:02 9798
noice-doc-0.8-r1.apk 25-Oct-2024 19:02 3440
nom-2.8.0-r9.apk 17-Jan-2026 22:42 7M
nom-doc-2.8.0-r9.apk 17-Jan-2026 22:42 4115
nomadnet-0.8.0-r0.apk 23-Sep-2025 22:32 143K
nomadnet-pyc-0.8.0-r0.apk 23-Sep-2025 22:32 285K
normaliz-3.10.4-r3.apk 26-Nov-2025 08:24 42K
normaliz-dev-3.10.4-r3.apk 26-Nov-2025 08:24 73K
normaliz-libs-3.10.4-r3.apk 26-Nov-2025 08:24 3M
notification-daemon-3.20.0-r1.apk 01-Jul-2025 22:41 58K
nrf5-sdk-17.1.0-r0.apk 19-Aug-2025 19:54 47M
nrf5-sdk-doc-17.1.0-r0.apk 19-Aug-2025 19:54 3715
nsh-0.4.2-r1.apk 25-Oct-2024 19:02 613K
nsh-dbg-0.4.2-r1.apk 25-Oct-2024 19:02 3M
nsnake-3.0.0-r0.apk 25-Oct-2024 19:02 9798
nsnake-doc-3.0.0-r0.apk 25-Oct-2024 19:02 2692
nsq-1.3.0-r10.apk 14-May-2025 00:10 26M
ntpd-rs-1.6.2-r2.apk 18-Dec-2025 19:45 4M
ntpd-rs-doc-1.6.2-r2.apk 18-Dec-2025 19:45 24K
ntpd-rs-openrc-1.6.2-r2.apk 18-Dec-2025 19:45 1990
nuclei-3.6.2-r1.apk 17-Jan-2026 22:42 40M
nuclei-doc-3.6.2-r1.apk 17-Jan-2026 22:42 2327
nuklear-4.12.0-r0.apk 25-Oct-2024 19:02 220K
nuklear-doc-4.12.0-r0.apk 25-Oct-2024 19:02 42K
nullmailer-2.2-r4.apk 25-Oct-2024 19:02 122K
nullmailer-doc-2.2-r4.apk 25-Oct-2024 19:02 10K
nullmailer-openrc-2.2-r4.apk 25-Oct-2024 19:02 1634
numbat-1.16.0-r0.apk 19-Aug-2025 13:10 2M
numbat-doc-1.16.0-r0.apk 19-Aug-2025 13:10 32K
nuzzle-1.6-r0.apk 17-Jan-2025 15:06 12K
nuzzle-doc-1.6-r0.apk 17-Jan-2025 15:06 3281
nvidia-src-575.64.05-r0.apk 22-Jul-2025 22:34 18M
nvim-cmp-0.0.0_git20221011-r1.apk 25-Oct-2024 19:02 55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk 25-Oct-2024 19:02 7998
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk 25-Oct-2024 19:02 4254
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk 25-Oct-2024 19:02 3395
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk 25-Oct-2024 19:02 1833
nvim-cmp-doc-0.0.0_git20221011-r1.apk 25-Oct-2024 19:02 10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk 25-Oct-2024 19:02 3559
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk 25-Oct-2024 19:02 2672
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk 25-Oct-2024 19:02 3606
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk 25-Oct-2024 19:02 2089
nvim-cmp-path-0.0.0_git20221002-r1.apk 25-Oct-2024 19:02 3858
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk 25-Oct-2024 19:02 2022
nvimpager-0.12.0-r0.apk 25-Oct-2024 19:02 13K
nvimpager-doc-0.12.0-r0.apk 25-Oct-2024 19:02 4532
nvimpager-zsh-completion-0.12.0-r0.apk 25-Oct-2024 19:02 1870
nvtop-3.2.0-r0.apk 29-Apr-2025 23:39 62K
nvtop-doc-3.2.0-r0.apk 29-Apr-2025 23:39 3614
nwg-bar-0.1.6-r16.apk 17-Jan-2026 22:42 2M
nwg-displays-0.3.26-r0.apk 28-Aug-2025 20:04 27K
nwg-displays-pyc-0.3.26-r0.apk 28-Aug-2025 20:04 36K
nwg-dock-0.4.3-r6.apk 17-Jan-2026 22:42 2M
nwg-look-1.0.6-r2.apk 17-Jan-2026 22:42 1M
nwg-look-doc-1.0.6-r2.apk 17-Jan-2026 22:42 4255
nwg-panel-0.10.13-r0.apk 28-Nov-2025 13:29 288K
nwg-panel-doc-0.10.13-r0.apk 28-Nov-2025 13:29 4549
nwg-panel-pyc-0.10.13-r0.apk 28-Nov-2025 13:29 270K
nwipe-0.39-r0.apk 05-Dec-2025 02:57 270K
nwipe-doc-0.39-r0.apk 05-Dec-2025 02:57 3890
nymphcast-mediaserver-0.1-r4.apk 28-Aug-2025 21:07 70K
nymphcast-mediaserver-nftables-0.1-r4.apk 28-Aug-2025 21:07 1736
nyuu-0.4.2-r1.apk 29-Dec-2025 15:46 1M
nzbget-25.4-r0.apk 17-Nov-2025 17:13 5M
nzbget-openrc-25.4-r0.apk 17-Nov-2025 17:13 2125
oauth2-proxy-7.11.0-r5.apk 17-Jan-2026 22:42 9M
oauth2-proxy-openrc-7.11.0-r5.apk 17-Jan-2026 22:42 2159
objconv-2.52_git20210213-r2.apk 25-Oct-2024 19:02 251K
oblibs-0.3.4.0-r0.apk 02-Jun-2025 03:03 33K
oblibs-dbg-0.3.4.0-r0.apk 02-Jun-2025 03:03 99K
oblibs-dev-0.3.4.0-r0.apk 02-Jun-2025 03:03 317K
obnc-0.17.2-r0.apk 25-May-2025 23:37 139K
obnc-doc-0.17.2-r0.apk 25-May-2025 23:37 33K
ocfs2-tools-1.8.7-r4.apk 25-Oct-2024 19:02 1M
ocfs2-tools-dev-1.8.7-r4.apk 25-Oct-2024 19:02 45K
ocfs2-tools-doc-1.8.7-r4.apk 25-Oct-2024 19:02 69K
octoprint-1.11.5-r0.apk 18-Jan-2026 07:01 3M
octoprint-creality2xfix-0.0.4-r2.apk 25-Oct-2024 19:02 4825
octoprint-creality2xfix-pyc-0.0.4-r2.apk 25-Oct-2024 19:02 3414
octoprint-filecheck-2025.7.23-r0.apk 04-Jan-2026 14:25 28K
octoprint-filecheck-pyc-2025.7.23-r0.apk 04-Jan-2026 14:25 12K
octoprint-firmwarecheck-2025.7.23-r0.apk 04-Jan-2026 14:25 29K
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk 04-Jan-2026 14:25 18K
octoprint-openrc-1.11.5-r0.apk 18-Jan-2026 07:01 1804
octoprint-pisupport-2025.7.23-r0.apk 04-Jan-2026 14:25 31K
octoprint-pisupport-pyc-2025.7.23-r0.apk 04-Jan-2026 14:25 15K
octoprint-pyc-1.11.5-r0.apk 18-Jan-2026 07:01 1M
odin-0.2026.01-r0.apk 07-Jan-2026 10:48 3M
odin-doc-0.2026.01-r0.apk 07-Jan-2026 10:48 4597
odin-vendor-0.2026.01-r0.apk 07-Jan-2026 10:48 4M
odyssey-1.3-r3.apk 25-Oct-2024 19:02 125K
odyssey-openrc-1.3-r3.apk 25-Oct-2024 19:02 2069
oha-1.12.1-r0.apk 20-Dec-2025 00:03 3M
oha-doc-1.12.1-r0.apk 20-Dec-2025 00:03 2294
oils-for-unix-0.35.0-r0.apk 28-Sep-2025 22:29 648K
oils-for-unix-bash-0.35.0-r0.apk 28-Sep-2025 22:29 1720
oils-for-unix-binsh-0.35.0-r0.apk 28-Sep-2025 22:29 1559
oils-for-unix-doc-0.35.0-r0.apk 28-Sep-2025 22:29 7193
ol-2.6-r0.apk 01-May-2025 06:30 1M
ol-dev-2.6-r0.apk 01-May-2025 06:30 16K
ol-doc-2.6-r0.apk 01-May-2025 06:30 2647
olsrd-0.9.8-r3.apk 25-Oct-2024 19:02 166K
olsrd-doc-0.9.8-r3.apk 25-Oct-2024 19:02 25K
olsrd-openrc-0.9.8-r3.apk 25-Oct-2024 19:02 1919
olsrd-plugins-0.9.8-r3.apk 25-Oct-2024 19:02 173K
one-dnn-3.1-r0.apk 25-Oct-2024 19:02 16M
one-dnn-dev-3.1-r0.apk 25-Oct-2024 19:02 114K
one-dnn-doc-3.1-r0.apk 25-Oct-2024 19:02 16K
onevpl-intel-gpu-25.4.6-r0.apk 07-Jan-2026 00:06 2M
onevpl-intel-gpu-dev-25.4.6-r0.apk 07-Jan-2026 00:06 1843
onioncat-4.11.0-r1.apk 25-Oct-2024 19:02 57K
onioncat-doc-4.11.0-r1.apk 25-Oct-2024 19:02 19K
onionshare-2.6.3-r1.apk 14-Nov-2025 21:57 180K
onionshare-desktop-2.6.3-r1.apk 14-Nov-2025 21:57 1M
onionshare-pyc-2.6.3-r1.apk 14-Nov-2025 21:57 240K
oniux-0.7.0-r0.apk 15-Jan-2026 01:06 7M
oniux-doc-0.7.0-r0.apk 15-Jan-2026 01:06 3333
opa-1.12.3-r0.apk 24-Jan-2026 09:39 12M
opa-bash-completion-1.12.3-r0.apk 24-Jan-2026 09:39 6237
opa-doc-1.12.3-r0.apk 24-Jan-2026 09:39 23K
opa-fish-completion-1.12.3-r0.apk 24-Jan-2026 09:39 4413
opa-zsh-completion-1.12.3-r0.apk 24-Jan-2026 09:39 4131
opcr-policy-0.3.1-r2.apk 17-Jan-2026 22:42 10M
openapi-tui-0.9.4-r1.apk 25-Oct-2024 19:02 4M
openapi-validator-1.19.2-r0.apk 25-Oct-2024 19:02 10M
openconnect-sso-0.8.0_git20230822-r0.apk 14-May-2025 09:13 29K
openconnect-sso-pyc-0.8.0_git20230822-r0.apk 14-May-2025 09:13 29K
opendht-3.1.11-r0.apk 29-Jan-2025 16:00 182K
opendht-dev-3.1.11-r0.apk 29-Jan-2025 16:00 71K
opendht-doc-3.1.11-r0.apk 29-Jan-2025 16:00 3097
opendht-libs-3.1.11-r0.apk 29-Jan-2025 16:00 573K
openfire-4.8.1-r1.apk 03-Dec-2024 15:45 46M
openfire-doc-4.8.1-r1.apk 03-Dec-2024 15:45 4M
openfire-openrc-4.8.1-r1.apk 03-Dec-2024 15:45 1962
openfire-plugins-4.8.1-r1.apk 03-Dec-2024 15:45 72K
openfortivpn-1.22.1-r0.apk 12-Dec-2024 04:50 41K
openfortivpn-doc-1.22.1-r0.apk 12-Dec-2024 04:50 6445
openfpgaloader-0.11.0-r0.apk 25-Oct-2024 19:02 2M
openjdk21-mandrel-23.1.6.0-r0.apk 22-Feb-2025 14:39 23M
openjfx-21.0.3_p1-r0.apk 25-Oct-2024 19:02 9M
openjfx-demos-21.0.3_p1-r0.apk 25-Oct-2024 19:02 28M
openjfx-doc-21.0.3_p1-r0.apk 25-Oct-2024 19:02 97K
openocd-esp32-0_git20250707-r2.apk 20-Oct-2025 21:45 2M
openocd-esp32-dev-0_git20250707-r2.apk 20-Oct-2025 21:45 3750
openocd-esp32-doc-0_git20250707-r2.apk 20-Oct-2025 21:45 3288
openocd-esp32-udev-0_git20250707-r2.apk 20-Oct-2025 21:45 3584
openocd-git-0_git20251018-r1.apk 20-Oct-2025 21:45 2M
openocd-git-cmd-openocd-0_git20251018-r1.apk 20-Oct-2025 21:45 1613
openocd-git-dbg-0_git20251018-r1.apk 20-Oct-2025 21:45 4M
openocd-git-dev-0_git20251018-r1.apk 20-Oct-2025 21:45 3431
openocd-git-doc-0_git20251018-r1.apk 20-Oct-2025 21:45 3290
openocd-git-udev-0_git20251018-r1.apk 20-Oct-2025 21:45 3626
openocd-riscv-0_git20230104-r2.apk 25-Oct-2024 19:02 2M
openocd-riscv-dev-0_git20230104-r2.apk 25-Oct-2024 19:02 3813
openocd-riscv-doc-0_git20230104-r2.apk 25-Oct-2024 19:02 3371
openocd-riscv-udev-rules-0_git20230104-r2.apk 25-Oct-2024 19:02 3354
openrdap-0.9.1-r0.apk 24-Jun-2025 15:50 3M
openrdap-doc-0.9.1-r0.apk 24-Jun-2025 15:50 2283
openscap-daemon-0.1.10-r9.apk 25-Oct-2024 19:02 60K
openscap-daemon-doc-0.1.10-r9.apk 25-Oct-2024 19:02 18K
openscap-daemon-pyc-0.1.10-r9.apk 25-Oct-2024 19:02 102K
openspades-0.1.3-r6.apk 09-Jan-2026 20:19 11M
openspades-doc-0.1.3-r6.apk 09-Jan-2026 20:19 19K
openswitcher-0.5.0-r4.apk 25-Oct-2024 19:02 148K
openswitcher-proxy-0.5.0-r4.apk 25-Oct-2024 19:02 9608
openswitcher-proxy-openrc-0.5.0-r4.apk 25-Oct-2024 19:02 2083
opentelemetry-cpp-1.24.0-r0.apk 22-Nov-2025 13:32 602K
opentelemetry-cpp-dev-1.24.0-r0.apk 22-Nov-2025 13:32 521K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r..> 22-Nov-2025 13:32 45K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk 22-Nov-2025 13:32 58K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk 22-Nov-2025 13:32 86K
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk 22-Nov-2025 13:32 50K
openterface-qt-0.5.11-r0.apk 22-Jan-2026 23:43 1M
openvpn3-3.8.5-r1.apk 22-Feb-2025 14:39 382K
openvpn3-dev-3.8.5-r1.apk 22-Feb-2025 14:39 667K
openwsman-2.8.1-r1.apk 30-Jun-2025 08:08 46K
openwsman-dev-2.8.1-r1.apk 30-Jun-2025 08:08 56K
openwsman-doc-2.8.1-r1.apk 30-Jun-2025 08:08 2457
openwsman-libs-2.8.1-r1.apk 30-Jun-2025 08:08 315K
opkg-0.7.0-r0.apk 25-Oct-2024 19:02 10K
opkg-dev-0.7.0-r0.apk 25-Oct-2024 19:02 109K
opkg-doc-0.7.0-r0.apk 25-Oct-2024 19:02 7834
opkg-libs-0.7.0-r0.apk 25-Oct-2024 19:02 77K
opkg-utils-0.7.0-r0.apk 25-Oct-2024 19:02 25K
opkg-utils-doc-0.7.0-r0.apk 25-Oct-2024 19:02 3706
opmsg-1.84-r1.apk 25-Oct-2024 19:02 272K
oppa-1.1.0-r0.apk 12-Oct-2025 13:21 519K
orage-4.21.0-r0.apk 07-Jan-2026 00:06 601K
orage-lang-4.21.0-r0.apk 07-Jan-2026 00:06 1M
organicmaps-2025.09.05.1-r1.apk 14-Jan-2026 05:56 127M
osmctools-0.9-r0.apk 25-Oct-2024 19:02 119K
ostui-1.0.4-r0.apk 11-Sep-2025 02:50 5M
ostui-doc-1.0.4-r0.apk 11-Sep-2025 02:50 28K
otf-0.4.9-r1.apk 17-Jan-2026 22:42 1297
otf-agent-0.4.9-r1.apk 17-Jan-2026 22:42 9M
otf-agent-openrc-0.4.9-r1.apk 17-Jan-2026 22:42 2044
otf-atkinson-hyperlegible-2020.0514-r1.apk 25-Oct-2024 19:02 102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk 25-Oct-2024 19:02 48K
otf-cli-0.4.9-r1.apk 17-Jan-2026 22:42 8M
otf-server-0.4.9-r1.apk 17-Jan-2026 22:42 13M
otf-server-openrc-0.4.9-r1.apk 17-Jan-2026 22:42 2043
otpclient-4.1.1-r0.apk 22-Jul-2025 22:44 113K
otpclient-doc-4.1.1-r0.apk 22-Jul-2025 22:44 3735
otrs-6.0.48-r2.apk 25-Oct-2024 19:02 29M
otrs-apache2-6.0.48-r2.apk 25-Oct-2024 19:02 4122
otrs-bash-completion-6.0.48-r2.apk 25-Oct-2024 19:02 2461
otrs-dev-6.0.48-r2.apk 25-Oct-2024 19:02 4M
otrs-doc-6.0.48-r2.apk 25-Oct-2024 19:02 795K
otrs-fastcgi-6.0.48-r2.apk 25-Oct-2024 19:02 1810
otrs-nginx-6.0.48-r2.apk 25-Oct-2024 19:02 1834
otrs-openrc-6.0.48-r2.apk 25-Oct-2024 19:02 1936
otrs-setup-6.0.48-r2.apk 25-Oct-2024 19:02 107K
ouch-0.6.1-r0.apk 28-May-2025 07:37 2M
ouch-bash-completion-0.6.1-r0.apk 28-May-2025 07:37 2562
ouch-doc-0.6.1-r0.apk 28-May-2025 07:37 3908
ouch-fish-completion-0.6.1-r0.apk 28-May-2025 07:37 3109
ouch-zsh-completion-0.6.1-r0.apk 28-May-2025 07:37 3200
ovhcloud-cli-0.9.0-r1.apk 17-Jan-2026 22:42 8M
ovn-25.09.2-r0.apk 14-Dec-2025 22:31 2M
ovn-dbg-25.09.2-r0.apk 14-Dec-2025 22:31 7M
ovn-dev-25.09.2-r0.apk 14-Dec-2025 22:31 2M
ovn-doc-25.09.2-r0.apk 14-Dec-2025 22:31 264K
ovn-openrc-25.09.2-r0.apk 14-Dec-2025 22:31 2453
ovos-0.0.1-r1.apk 25-Oct-2024 19:02 1506
ovos-audio-1.0.1-r0.apk 15-Jul-2025 20:03 136K
ovos-audio-pyc-1.0.1-r0.apk 15-Jul-2025 20:03 36K
ovos-core-2.1.0-r0.apk 02-Nov-2025 15:56 49K
ovos-core-pyc-2.1.0-r0.apk 02-Nov-2025 15:56 58K
ovos-dinkum-listener-0.4.1-r0.apk 15-Jul-2025 20:03 109K
ovos-dinkum-listener-pyc-0.4.1-r0.apk 15-Jul-2025 20:03 57K
ovos-gui-1.3.3-r0.apk 15-Jul-2025 20:03 38K
ovos-gui-pyc-1.3.3-r0.apk 15-Jul-2025 20:03 38K
ovos-messagebus-0.0.10-r0.apk 08-Apr-2025 09:13 10K
ovos-messagebus-pyc-0.0.10-r0.apk 08-Apr-2025 09:13 6811
ovos-phal-0.2.10-r0.apk 15-Jul-2025 19:29 10K
ovos-phal-pyc-0.2.10-r0.apk 15-Jul-2025 19:29 7415
ovos-skill-hello-world-0.0.4_alpha3-r1.apk 25-Oct-2024 19:02 46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk 25-Oct-2024 19:02 4062
ovpncc-0.1_rc1-r0.apk 25-Oct-2024 19:02 12K
ovpncc-doc-0.1_rc1-r0.apk 25-Oct-2024 19:02 6673
oxygen-icons-6.1.0-r0.apk 25-Oct-2024 19:02 32M
p0f-3.09b-r3.apk 25-Oct-2024 19:02 75K
p0f-doc-3.09b-r3.apk 25-Oct-2024 19:02 25K
p910nd-0.97-r2.apk 25-Oct-2024 19:02 7927
p910nd-doc-0.97-r2.apk 25-Oct-2024 19:02 3053
p910nd-openrc-0.97-r2.apk 25-Oct-2024 19:02 1880
packwiz-0_git20251102-r2.apk 17-Jan-2026 22:42 5M
packwiz-doc-0_git20251102-r2.apk 17-Jan-2026 22:42 2311
pacparser-1.4.5-r1.apk 25-Oct-2024 19:02 748K
pacparser-dev-1.4.5-r1.apk 25-Oct-2024 19:02 3687
pacparser-doc-1.4.5-r1.apk 25-Oct-2024 19:02 18K
paged-markdown-3-pdf-0.1.3-r0.apk 28-May-2025 14:24 465K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk 28-May-2025 14:24 1909
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk 28-May-2025 14:24 1830
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk 28-May-2025 14:24 1904
pam-krb5-4.11-r1.apk 25-Oct-2024 19:02 22K
pam-krb5-doc-4.11-r1.apk 25-Oct-2024 19:02 24K
pam-pkcs11-0.6.13-r1.apk 17-Nov-2025 13:11 256K
pam-pkcs11-doc-0.6.13-r1.apk 17-Nov-2025 13:11 14K
pam-pkcs11-systemd-0.6.13-r1.apk 17-Nov-2025 13:11 1799
pam_sqlite3-1.0.2-r2.apk 25-Oct-2024 19:02 8667
pamtester-0.1.2-r4.apk 25-Oct-2024 19:02 9370
pamtester-doc-0.1.2-r4.apk 25-Oct-2024 19:02 2954
pandora_box-0.18.0-r0.apk 04-Jan-2026 13:46 433K
paperde-0.3.0-r2.apk 21-Aug-2025 07:47 610K
paperde-dev-0.3.0-r2.apk 21-Aug-2025 07:47 5093
paperkey-1.6-r2.apk 25-Oct-2024 19:02 16K
paperkey-doc-1.6-r2.apk 25-Oct-2024 19:02 4617
paprefs-1.2-r2.apk 22-Nov-2024 22:14 30K
paprefs-lang-1.2-r2.apk 22-Nov-2024 22:14 38K
par-1.53.0-r1.apk 25-Oct-2024 19:02 14K
par-doc-1.53.0-r1.apk 25-Oct-2024 19:02 30K
par2cmdline-turbo-1.3.0-r0.apk 10-May-2025 03:12 311K
par2cmdline-turbo-doc-1.3.0-r0.apk 10-May-2025 03:12 6047
paraexec-1.0-r3.apk 25-Oct-2024 19:02 13M
parpar-0.4.5-r1.apk 29-Dec-2025 15:46 6M
parse-changelog-0.6.12-r0.apk 18-May-2025 22:20 550K
pash-2.3.0-r2.apk 25-Oct-2024 19:02 4390
pasystray-0.8.2-r0.apk 25-Oct-2024 19:02 46K
pasystray-doc-0.8.2-r0.apk 25-Oct-2024 19:02 3412
pathvector-6.3.2-r19.apk 17-Jan-2026 22:42 4M
pcem-17-r2.apk 25-Oct-2024 19:02 2M
pcl-1.15.1-r0.apk 18-Jan-2026 02:32 500K
pcl-dev-1.15.1-r0.apk 18-Jan-2026 02:32 380K
pcl-libs-1.15.1-r0.apk 18-Jan-2026 02:32 1M
pcsx2-1.7.4819-r5.apk 22-Feb-2025 14:39 11M
pd-mapper-1.1-r0.apk 13-Jan-2026 00:01 10K
pd-mapper-doc-1.1-r0.apk 13-Jan-2026 00:01 2543
pd-mapper-openrc-1.1-r0.apk 13-Jan-2026 00:01 1698
pd-mapper-systemd-1.1-r0.apk 13-Jan-2026 00:01 1744
pdal-python-plugins-1.6.5-r0.apk 21-Jun-2025 21:43 249K
pdf2svg-0.2.4-r0.apk 28-Sep-2025 18:49 4610
pdfcrack-0.21-r0.apk 19-Nov-2025 08:08 31K
pebble-le-0.3.0-r2.apk 14-Dec-2024 21:23 63K
pebble-le-dev-0.3.0-r2.apk 14-Dec-2024 21:23 40K
pebble-le-doc-0.3.0-r2.apk 14-Dec-2024 21:23 3772
peervpn-0.044-r5.apk 25-Oct-2024 19:02 40K
peervpn-openrc-0.044-r5.apk 25-Oct-2024 19:02 1826
peg-0.1.18-r1.apk 25-Oct-2024 19:02 38K
peg-doc-0.1.18-r1.apk 25-Oct-2024 19:02 14K
pegasus-frontend-16_alpha-r0.apk 25-Oct-2024 19:02 1M
pegasus-frontend-doc-16_alpha-r0.apk 25-Oct-2024 19:02 16K
percona-toolkit-3.5.4-r1.apk 25-Oct-2024 19:02 2M
percona-toolkit-doc-3.5.4-r1.apk 25-Oct-2024 19:02 298K
perl-algorithm-c3-0.11-r1.apk 25-Oct-2024 19:02 5793
perl-algorithm-c3-doc-0.11-r1.apk 25-Oct-2024 19:02 5222
perl-algorithm-cron-0.10-r4.apk 25-Oct-2024 19:02 6255
perl-algorithm-cron-doc-0.10-r4.apk 25-Oct-2024 19:02 4706
perl-algorithm-evolutionary-0.82.1-r0.apk 25-Oct-2024 19:02 79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk 25-Oct-2024 19:02 148K
perl-aliased-0.34-r4.apk 25-Oct-2024 19:02 5796
perl-aliased-doc-0.34-r4.apk 25-Oct-2024 19:02 5807
perl-alien-base-modulebuild-1.17-r0.apk 08-Jun-2025 16:03 22K
perl-alien-base-modulebuild-doc-1.17-r0.apk 08-Jun-2025 16:03 53K
perl-alien-libgumbo-0.05-r1.apk 30-Jun-2025 08:08 576K
perl-alien-libgumbo-doc-0.05-r1.apk 30-Jun-2025 08:08 4941
perl-anyevent-dns-etchosts-0.0105-r0.apk 25-Oct-2024 19:02 5229
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk 25-Oct-2024 19:02 4080
perl-archive-any-lite-0.11-r0.apk 11-Jul-2025 08:46 4221
perl-archive-any-lite-doc-0.11-r0.apk 11-Jul-2025 08:46 3785
perl-archive-extract-0.88-r1.apk 25-Oct-2024 19:02 16K
perl-archive-extract-doc-0.88-r1.apk 25-Oct-2024 19:02 6996
perl-array-diff-0.09-r0.apk 10-Jul-2025 05:38 3408
perl-array-diff-doc-0.09-r0.apk 10-Jul-2025 05:38 3975
perl-asa-1.04-r0.apk 11-Jun-2025 16:34 4421
perl-asa-doc-1.04-r0.apk 11-Jun-2025 16:34 5115
perl-autobox-3.0.2-r1.apk 30-Jun-2025 08:08 19K
perl-autobox-doc-3.0.2-r1.apk 30-Jun-2025 08:08 9115
perl-b-utils-0.27-r1.apk 30-Jun-2025 08:08 19K
perl-b-utils-doc-0.27-r1.apk 30-Jun-2025 08:08 9742
perl-badger-0.16-r1.apk 11-Nov-2025 23:13 253K
perl-badger-doc-0.16-r1.apk 11-Nov-2025 23:13 263K
perl-barcode-zbar-0.10-r4.apk 30-Jun-2025 08:08 29K
perl-barcode-zbar-doc-0.10-r4.apk 30-Jun-2025 08:08 13K
perl-bind-config-parser-0.01-r5.apk 25-Oct-2024 19:02 3969
perl-bind-config-parser-doc-0.01-r5.apk 25-Oct-2024 19:02 3714
perl-carp-assert-more-2.9.0-r0.apk 13-Apr-2025 21:31 9184
perl-carp-assert-more-doc-2.9.0-r0.apk 13-Apr-2025 21:31 7977
perl-carp-repl-0.18-r0.apk 26-Apr-2025 17:12 6097
perl-carp-repl-doc-0.18-r0.apk 26-Apr-2025 17:12 6009
perl-catalyst-action-renderview-0.17-r0.apk 21-Mar-2025 16:21 3890
perl-catalyst-action-renderview-doc-0.17-r0.apk 21-Mar-2025 16:21 4140
perl-catalyst-action-rest-1.21-r0.apk 03-Apr-2025 00:11 25K
perl-catalyst-action-rest-doc-1.21-r0.apk 03-Apr-2025 00:11 27K
perl-catalyst-actionrole-acl-0.07-r0.apk 10-May-2025 03:12 4439
perl-catalyst-actionrole-acl-doc-0.07-r0.apk 10-May-2025 03:12 5882
perl-catalyst-authentication-credential-http-1...> 04-Apr-2025 06:07 8817
perl-catalyst-authentication-credential-http-do..> 04-Apr-2025 06:07 6919
perl-catalyst-authentication-store-dbix-class-0..> 11-Jun-2025 16:35 13K
perl-catalyst-authentication-store-dbix-class-d..> 11-Jun-2025 16:35 14K
perl-catalyst-component-instancepercontext-0.00..> 19-Jun-2025 10:55 2785
perl-catalyst-component-instancepercontext-doc-..> 19-Jun-2025 10:55 3370
perl-catalyst-controller-actionrole-0.17-r0.apk 04-Apr-2025 06:07 5104
perl-catalyst-controller-actionrole-doc-0.17-r0..> 04-Apr-2025 06:07 4550
perl-catalyst-devel-1.42-r0.apk 21-Mar-2025 16:21 54K
perl-catalyst-devel-doc-1.42-r0.apk 21-Mar-2025 16:21 11K
perl-catalyst-manual-5.9013-r0.apk 04-Apr-2025 06:07 2751
perl-catalyst-manual-doc-5.9013-r0.apk 04-Apr-2025 06:07 375K
perl-catalyst-model-adaptor-0.10-r0.apk 13-Apr-2025 21:31 6641
perl-catalyst-model-adaptor-doc-0.10-r0.apk 13-Apr-2025 21:31 12K
perl-catalyst-model-dbic-schema-0.66-r0.apk 11-Jun-2025 16:35 19K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk 11-Jun-2025 16:35 18K
perl-catalyst-plugin-authentication-0.10024-r0.apk 03-Apr-2025 00:11 32K
perl-catalyst-plugin-authentication-doc-0.10024..> 03-Apr-2025 00:11 56K
perl-catalyst-plugin-configloader-0.35-r0.apk 21-Mar-2025 16:21 5514
perl-catalyst-plugin-configloader-doc-0.35-r0.apk 21-Mar-2025 16:21 10K
perl-catalyst-plugin-i18n-0.10-r0.apk 04-Apr-2025 11:29 4225
perl-catalyst-plugin-i18n-doc-0.10-r0.apk 04-Apr-2025 11:29 13K
perl-catalyst-plugin-session-0.43-r0.apk 04-Apr-2025 11:56 14K
perl-catalyst-plugin-session-doc-0.43-r0.apk 04-Apr-2025 11:56 25K
perl-catalyst-plugin-session-state-cookie-0.18-..> 20-Apr-2025 04:32 4970
perl-catalyst-plugin-session-state-cookie-doc-0..> 20-Apr-2025 04:32 4965
perl-catalyst-plugin-session-store-dbic-0.14-r0..> 13-Jun-2025 18:17 6027
perl-catalyst-plugin-session-store-dbic-doc-0.1..> 13-Jun-2025 18:17 6587
perl-catalyst-plugin-session-store-delegate-0.0..> 13-Jun-2025 18:17 4587
perl-catalyst-plugin-session-store-delegate-doc..> 13-Jun-2025 18:17 4635
perl-catalyst-plugin-session-store-file-0.18-r0..> 14-Jun-2025 02:46 3735
perl-catalyst-plugin-session-store-file-doc-0.1..> 14-Jun-2025 02:46 4106
perl-catalyst-plugin-stacktrace-0.12-r0.apk 14-Jun-2025 02:46 4784
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk 14-Jun-2025 02:46 3978
perl-catalyst-plugin-static-simple-0.37-r0.apk 21-Mar-2025 16:21 8903
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk 21-Mar-2025 16:21 7587
perl-catalyst-runtime-5.90132-r0.apk 21-Mar-2025 16:21 150K
perl-catalyst-runtime-doc-5.90132-r0.apk 21-Mar-2025 16:21 216K
perl-catalyst-view-email-0.36-r0.apk 04-Apr-2025 11:29 9297
perl-catalyst-view-email-doc-0.36-r0.apk 04-Apr-2025 11:29 11K
perl-catalyst-view-tt-0.46-r0.apk 13-Apr-2025 21:31 14K
perl-catalyst-view-tt-doc-0.46-r0.apk 13-Apr-2025 21:31 13K
perl-catalystx-component-traits-0.19-r0.apk 10-May-2025 03:12 4423
perl-catalystx-component-traits-doc-0.19-r0.apk 10-May-2025 03:12 4092
perl-catalystx-injectcomponent-0.025-r0.apk 01-May-2025 06:46 3498
perl-catalystx-injectcomponent-doc-0.025-r0.apk 01-May-2025 06:46 3672
perl-catalystx-leakchecker-0.06-r0.apk 15-Jun-2025 04:47 3455
perl-catalystx-leakchecker-doc-0.06-r0.apk 15-Jun-2025 04:47 3602
perl-catalystx-profile-0.02-r0.apk 15-Jun-2025 04:47 3148
perl-catalystx-profile-doc-0.02-r0.apk 15-Jun-2025 04:47 4652
perl-catalystx-repl-0.04-r0.apk 27-Apr-2025 15:07 3383
perl-catalystx-repl-doc-0.04-r0.apk 27-Apr-2025 15:07 3708
perl-catalystx-simplelogin-0.21-r0.apk 10-May-2025 03:12 11K
perl-catalystx-simplelogin-doc-0.21-r0.apk 10-May-2025 03:12 24K
perl-cgi-expand-2.05-r4.apk 25-Oct-2024 19:02 7042
perl-cgi-expand-doc-2.05-r4.apk 25-Oct-2024 19:02 6309
perl-cgi-simple-1.282-r0.apk 30-Aug-2025 04:17 56K
perl-cgi-simple-doc-1.282-r0.apk 30-Aug-2025 04:17 43K
perl-cgi-struct-1.21-r0.apk 14-Mar-2025 17:12 8020
perl-cgi-struct-doc-1.21-r0.apk 14-Mar-2025 17:12 6941
perl-class-accessor-grouped-0.10014-r2.apk 25-Oct-2024 19:02 12K
perl-class-accessor-grouped-doc-0.10014-r2.apk 25-Oct-2024 19:02 7658
perl-class-c3-0.35-r1.apk 25-Oct-2024 19:02 9688
perl-class-c3-adopt-next-0.14-r0.apk 14-Mar-2025 17:12 5201
perl-class-c3-adopt-next-doc-0.14-r0.apk 14-Mar-2025 17:12 4787
perl-class-c3-componentised-1.001002-r2.apk 25-Oct-2024 19:02 5658
perl-class-c3-componentised-doc-1.001002-r2.apk 25-Oct-2024 19:02 5468
perl-class-c3-doc-0.35-r1.apk 25-Oct-2024 19:02 9506
perl-class-unload-0.11-r0.apk 04-Jun-2025 23:00 2648
perl-class-unload-doc-0.11-r0.apk 04-Jun-2025 23:00 3144
perl-cli-osprey-0.08-r0.apk 28-Dec-2024 04:54 13K
perl-cli-osprey-doc-0.08-r0.apk 28-Dec-2024 04:54 12K
perl-clipboard-0.32-r1.apk 13-Oct-2025 16:13 10K
perl-clipboard-doc-0.32-r1.apk 13-Oct-2025 16:13 27K
perl-conf-libconfig-1.0.3-r2.apk 30-Jun-2025 08:08 24K
perl-conf-libconfig-doc-1.0.3-r2.apk 30-Jun-2025 08:08 5637
perl-constant-defer-6-r5.apk 25-Oct-2024 19:02 7579
perl-constant-defer-doc-6-r5.apk 25-Oct-2024 19:02 7117
perl-constant-generate-0.17-r5.apk 25-Oct-2024 19:02 8964
perl-constant-generate-doc-0.17-r5.apk 25-Oct-2024 19:02 7214
perl-context-preserve-0.03-r4.apk 25-Oct-2024 19:02 3962
perl-context-preserve-doc-0.03-r4.apk 25-Oct-2024 19:02 4317
perl-cpan-audit-20250829.001-r0.apk 06-Sep-2025 16:20 14K
perl-cpan-audit-doc-20250829.001-r0.apk 06-Sep-2025 16:20 12K
perl-cpan-changes-0.500005-r0.apk 16-Aug-2025 14:24 13K
perl-cpan-changes-doc-0.500005-r0.apk 16-Aug-2025 14:24 18K
perl-cpansa-db-20250807.001-r0.apk 01-Sep-2025 13:16 1M
perl-cpansa-db-doc-20250807.001-r0.apk 01-Sep-2025 13:16 5706
perl-crypt-blowfish-2.14-r1.apk 30-Jun-2025 08:08 12K
perl-crypt-blowfish-doc-2.14-r1.apk 30-Jun-2025 08:08 4170
perl-crypt-saltedhash-0.09-r5.apk 25-Oct-2024 19:02 7117
perl-crypt-saltedhash-doc-0.09-r5.apk 25-Oct-2024 19:02 6519
perl-css-inliner-4027-r0.apk 16-Jun-2025 11:50 16K
perl-css-inliner-doc-4027-r0.apk 16-Jun-2025 11:50 9669
perl-daemon-control-0.001010-r2.apk 25-Oct-2024 19:02 12K
perl-daemon-control-doc-0.001010-r2.apk 25-Oct-2024 19:02 8459
perl-dancer-plugin-auth-extensible-1.00-r5.apk 25-Oct-2024 19:02 15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 19:02 15K
perl-dancer-plugin-dbic-0.2104-r5.apk 25-Oct-2024 19:02 5046
perl-dancer-plugin-dbic-doc-0.2104-r5.apk 25-Oct-2024 19:02 5484
perl-dancer-plugin-passphrase-2.0.1-r4.apk 25-Oct-2024 19:02 9932
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk 25-Oct-2024 19:02 8665
perl-dancer-session-cookie-0.30-r2.apk 25-Oct-2024 19:02 5593
perl-dancer-session-cookie-doc-0.30-r2.apk 25-Oct-2024 19:02 4276
perl-dancer2-2.0.1-r0.apk 24-Jan-2026 10:27 148K
perl-dancer2-doc-2.0.1-r0.apk 24-Jan-2026 10:27 319K
perl-data-binary-0.01-r0.apk 10-Jul-2025 05:38 2834
perl-data-binary-doc-0.01-r0.apk 10-Jul-2025 05:38 3158
perl-data-censor-0.04-r0.apk 23-Jan-2026 00:42 5019
perl-data-censor-doc-0.04-r0.apk 23-Jan-2026 00:42 5109
perl-data-clone-0.006-r1.apk 30-Jun-2025 08:08 9812
perl-data-clone-doc-0.006-r1.apk 30-Jun-2025 08:08 4643
perl-data-dump-streamer-2.42-r1.apk 30-Jun-2025 08:08 50K
perl-data-dump-streamer-doc-2.42-r1.apk 30-Jun-2025 08:08 17K
perl-data-section-0.200008-r0.apk 07-Jul-2025 17:02 6568
perl-data-section-doc-0.200008-r0.apk 07-Jul-2025 17:02 5752
perl-data-validate-ip-0.31-r1.apk 25-Oct-2024 19:02 9036
perl-data-validate-ip-doc-0.31-r1.apk 25-Oct-2024 19:02 6002
perl-data-visitor-0.32-r0.apk 21-Mar-2025 16:21 10K
perl-data-visitor-doc-0.32-r0.apk 21-Mar-2025 16:21 8510
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 19:02 14K
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 19:02 9539
perl-date-range-1.41-r0.apk 08-Jul-2025 16:53 3844
perl-date-range-doc-1.41-r0.apk 08-Jul-2025 16:53 4139
perl-dbicx-sugar-0.0200-r5.apk 25-Oct-2024 19:02 6032
perl-dbicx-sugar-doc-0.0200-r5.apk 25-Oct-2024 19:02 5464
perl-dbix-class-0.082844-r0.apk 16-Jan-2025 17:27 355K
perl-dbix-class-candy-0.005004-r0.apk 30-Oct-2024 05:59 8062
perl-dbix-class-candy-doc-0.005004-r0.apk 30-Oct-2024 05:59 9709
perl-dbix-class-cursor-cached-1.001004-r0.apk 10-Jun-2025 00:53 3293
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk 10-Jun-2025 00:53 3118
perl-dbix-class-doc-0.082844-r0.apk 16-Jan-2025 17:27 421K
perl-dbix-class-helpers-2.037000-r0.apk 17-Nov-2024 04:04 48K
perl-dbix-class-helpers-doc-2.037000-r0.apk 17-Nov-2024 04:04 121K
perl-dbix-class-schema-loader-0.07053-r0.apk 10-Jun-2025 00:53 97K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk 10-Jun-2025 00:53 77K
perl-dbix-datasource-0.02-r5.apk 25-Oct-2024 19:02 4400
perl-dbix-datasource-doc-0.02-r5.apk 25-Oct-2024 19:02 7717
perl-dbix-introspector-0.001005-r4.apk 25-Oct-2024 19:02 8246
perl-dbix-introspector-doc-0.001005-r4.apk 25-Oct-2024 19:02 8665
perl-dbix-lite-0.36-r0.apk 30-Dec-2024 06:37 18K
perl-dbix-lite-doc-0.36-r0.apk 30-Dec-2024 06:37 18K
perl-devel-leak-0.03-r14.apk 30-Jun-2025 08:08 6780
perl-devel-leak-doc-0.03-r14.apk 30-Jun-2025 08:08 3431
perl-devel-nytprof-6.14-r1.apk 30-Jun-2025 08:08 390K
perl-devel-nytprof-doc-6.14-r1.apk 30-Jun-2025 08:08 51K
perl-devel-repl-1.003029-r0.apk 24-Apr-2025 14:50 28K
perl-devel-repl-doc-1.003029-r0.apk 24-Apr-2025 14:50 60K
perl-devel-stacktrace-withlexicals-2.01-r0.apk 26-Apr-2025 10:21 3746
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk 26-Apr-2025 10:21 3507
perl-devel-trace-0.12-r0.apk 06-Jul-2025 19:16 3442
perl-devel-trace-doc-0.12-r0.apk 06-Jul-2025 19:16 3557
perl-digest-bcrypt-1.212-r1.apk 25-Oct-2024 19:02 5715
perl-digest-bcrypt-doc-1.212-r1.apk 25-Oct-2024 19:02 5307
perl-email-abstract-3.010-r0.apk 25-Oct-2024 19:02 7837
perl-email-abstract-doc-3.010-r0.apk 25-Oct-2024 19:02 13K
perl-email-mime-attachment-stripper-1.317-r5.apk 25-Oct-2024 19:02 4060
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 19:02 3907
perl-email-reply-1.204-r5.apk 25-Oct-2024 19:02 6290
perl-email-reply-doc-1.204-r5.apk 25-Oct-2024 19:02 4919
perl-email-sender-2.601-r0.apk 04-Apr-2025 11:29 25K
perl-email-sender-doc-2.601-r0.apk 04-Apr-2025 11:29 42K
perl-encode-detect-1.01-r1.apk 30-Jun-2025 08:08 71K
perl-encode-detect-doc-1.01-r1.apk 30-Jun-2025 08:08 4963
perl-expect-1.38-r0.apk 19-Apr-2025 19:02 32K
perl-expect-doc-1.38-r0.apk 19-Apr-2025 19:02 20K
perl-expect-simple-0.04-r0.apk 20-Apr-2025 04:38 5621
perl-expect-simple-doc-0.04-r0.apk 20-Apr-2025 04:38 5001
perl-extutils-makemaker-7.76-r0.apk 16-Aug-2025 14:24 174K
perl-extutils-xsbuilder-0.28-r5.apk 25-Oct-2024 19:02 43K
perl-extutils-xsbuilder-doc-0.28-r5.apk 25-Oct-2024 19:02 21K
perl-ffi-c-0.15-r0.apk 25-Oct-2024 19:02 20K
perl-ffi-c-doc-0.15-r0.apk 25-Oct-2024 19:02 29K
perl-ffi-platypus-2.11-r0.apk 13-Jan-2026 07:41 181K
perl-ffi-platypus-doc-2.11-r0.apk 13-Jan-2026 07:41 148K
perl-ffi-platypus-type-enum-0.06-r0.apk 25-Oct-2024 19:02 5334
perl-ffi-platypus-type-enum-doc-0.06-r0.apk 25-Oct-2024 19:02 5289
perl-file-changenotify-0.31-r0.apk 21-Mar-2025 16:21 12K
perl-file-changenotify-doc-0.31-r0.apk 21-Mar-2025 16:21 14K
perl-file-find-object-0.3.9-r0.apk 11-Jul-2025 16:50 9378
perl-file-find-object-doc-0.3.9-r0.apk 11-Jul-2025 16:50 13K
perl-file-mmagic-xs-0.09008-r5.apk 30-Jun-2025 08:08 29K
perl-file-mmagic-xs-doc-0.09008-r5.apk 30-Jun-2025 08:08 4364
perl-file-rename-2.02-r0.apk 25-Oct-2024 19:02 7721
perl-file-rename-doc-2.02-r0.apk 25-Oct-2024 19:02 12K
perl-file-treecreate-0.0.1-r0.apk 11-Jul-2025 08:46 4114
perl-file-treecreate-doc-0.0.1-r0.apk 11-Jul-2025 08:46 4506
perl-finance-quote-1.68-r0.apk 22-Dec-2025 10:54 114K
perl-finance-quote-doc-1.68-r0.apk 22-Dec-2025 10:54 90K
perl-flowd-0.9.1-r11.apk 30-Jun-2025 08:08 21K
perl-flowd-doc-0.9.1-r11.apk 30-Jun-2025 08:08 3332
perl-freezethaw-0.5001-r3.apk 18-Oct-2025 10:32 10K
perl-freezethaw-doc-0.5001-r3.apk 18-Oct-2025 10:32 5845
perl-full-1.004-r0.apk 25-Oct-2024 19:02 7289
perl-full-doc-1.004-r0.apk 25-Oct-2024 19:02 10K
perl-getopt-long-descriptive-0.116-r0.apk 31-Dec-2024 10:59 15K
perl-getopt-long-descriptive-doc-0.116-r0.apk 31-Dec-2024 10:59 11K
perl-getopt-tabular-0.3-r4.apk 25-Oct-2024 19:02 23K
perl-getopt-tabular-doc-0.3-r4.apk 25-Oct-2024 19:02 17K
perl-hash-merge-extra-0.06-r0.apk 20-Jun-2025 15:18 3213
perl-hash-merge-extra-doc-0.06-r0.apk 20-Jun-2025 15:18 3450
perl-html-formatexternal-26-r0.apk 07-Jun-2025 14:55 17K
perl-html-formatexternal-doc-26-r0.apk 07-Jun-2025 14:55 23K
perl-html-formhandler-0.40068-r0.apk 01-May-2025 06:46 135K
perl-html-formhandler-doc-0.40068-r0.apk 01-May-2025 06:46 323K
perl-html-gumbo-0.18-r2.apk 30-Jun-2025 08:08 14K
perl-html-gumbo-doc-0.18-r2.apk 30-Jun-2025 08:08 5556
perl-html-query-0.09-r0.apk 16-Jun-2025 11:50 14K
perl-html-query-doc-0.09-r0.apk 16-Jun-2025 11:50 10K
perl-html-tableextract-2.15-r4.apk 25-Oct-2024 19:02 18K
perl-html-tableextract-doc-2.15-r4.apk 25-Oct-2024 19:02 10K
perl-html-treebuilder-xpath-0.14-r0.apk 10-Jul-2025 05:38 7987
perl-html-treebuilder-xpath-doc-0.14-r0.apk 10-Jul-2025 05:38 4310
perl-http-headers-actionpack-0.09-r0.apk 13-Jun-2025 18:17 18K
perl-http-headers-actionpack-doc-0.09-r0.apk 13-Jun-2025 18:17 40K
perl-i18n-langinfo-wide-9-r4.apk 25-Oct-2024 19:02 4316
perl-i18n-langinfo-wide-doc-9-r4.apk 25-Oct-2024 19:02 4185
perl-imager-1.028-r1.apk 30-Jun-2025 08:08 526K
perl-imager-doc-1.028-r1.apk 30-Jun-2025 08:08 286K
perl-io-handle-util-0.02-r0.apk 11-Jun-2025 16:34 11K
perl-io-handle-util-doc-0.02-r0.apk 11-Jun-2025 16:34 10K
perl-io-interactive-1.027-r0.apk 01-Sep-2025 13:16 5444
perl-io-interactive-doc-1.027-r0.apk 01-Sep-2025 13:16 5322
perl-io-sessiondata-1.03-r3.apk 25-Oct-2024 19:02 5896
perl-json-validator-5.15-r0.apk 21-Mar-2025 16:21 58K
perl-json-validator-doc-5.15-r0.apk 21-Mar-2025 16:21 34K
perl-lexical-persistence-1.023-r0.apk 26-Apr-2025 08:13 7691
perl-lexical-persistence-doc-1.023-r0.apk 26-Apr-2025 08:13 7751
perl-libapreq2-2.17-r3.apk 30-Jun-2025 08:08 98K
perl-libapreq2-dev-2.17-r3.apk 30-Jun-2025 08:08 55K
perl-libapreq2-doc-2.17-r3.apk 30-Jun-2025 08:08 37K
perl-libintl-perl-1.35-r0.apk 16-Jan-2025 13:35 305K
perl-libintl-perl-doc-1.35-r0.apk 16-Jan-2025 13:35 571K
perl-lingua-en-findnumber-1.32-r0.apk 08-Jun-2025 16:03 3328
perl-lingua-en-findnumber-doc-1.32-r0.apk 08-Jun-2025 16:03 3566
perl-lingua-en-inflect-number-1.12-r0.apk 08-Jun-2025 16:03 3282
perl-lingua-en-inflect-number-doc-1.12-r0.apk 08-Jun-2025 16:03 3644
perl-lingua-en-inflect-phrase-0.20-r0.apk 09-Jun-2025 12:06 5443
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk 09-Jun-2025 12:06 3996
perl-lingua-en-number-isordinal-0.05-r0.apk 08-Jun-2025 16:03 3132
perl-lingua-en-number-isordinal-doc-0.05-r0.apk 08-Jun-2025 16:03 3528
perl-lingua-en-tagger-0.31-r0.apk 08-Jun-2025 16:03 546K
perl-lingua-en-tagger-doc-0.31-r0.apk 08-Jun-2025 16:03 4562
perl-lingua-en-words2nums-0.18-r0.apk 07-Jun-2025 14:55 4705
perl-lingua-en-words2nums-doc-0.18-r0.apk 07-Jun-2025 14:55 3600
perl-lingua-pt-stemmer-0.02-r0.apk 07-Jun-2025 02:00 5556
perl-lingua-pt-stemmer-doc-0.02-r0.apk 07-Jun-2025 02:00 4385
perl-lingua-stem-2.31-r0.apk 08-Jun-2025 16:03 12K
perl-lingua-stem-doc-2.31-r0.apk 08-Jun-2025 16:03 34K
perl-lingua-stem-fr-0.02-r0.apk 06-Jun-2025 14:57 6280
perl-lingua-stem-fr-doc-0.02-r0.apk 06-Jun-2025 14:57 4027
perl-lingua-stem-it-0.02-r0.apk 06-Jun-2025 14:57 5345
perl-lingua-stem-it-doc-0.02-r0.apk 06-Jun-2025 14:57 3624
perl-lingua-stem-ru-0.04-r0.apk 06-Jun-2025 14:57 4219
perl-lingua-stem-ru-doc-0.04-r0.apk 06-Jun-2025 14:57 3837
perl-lingua-stem-snowball-da-1.01-r0.apk 08-Jun-2025 16:03 4432
perl-lingua-stem-snowball-da-doc-1.01-r0.apk 08-Jun-2025 16:03 3100
perl-linux-pid-0.04-r15.apk 30-Jun-2025 08:08 4723
perl-linux-pid-doc-0.04-r15.apk 30-Jun-2025 08:08 3143
perl-log-fu-0.31-r4.apk 25-Oct-2024 19:02 11K
perl-log-fu-doc-0.31-r4.apk 25-Oct-2024 19:02 7440
perl-log-message-0.08-r3.apk 25-Oct-2024 19:02 11K
perl-log-message-doc-0.08-r3.apk 25-Oct-2024 19:02 12K
perl-log-message-simple-0.10-r3.apk 25-Oct-2024 19:02 4315
perl-log-message-simple-doc-0.10-r3.apk 25-Oct-2024 19:02 4047
perl-lwp-useragent-cached-0.08-r1.apk 25-Oct-2024 19:02 6542
perl-lwp-useragent-cached-doc-0.08-r1.apk 25-Oct-2024 19:02 5791
perl-math-int64-0.57-r2.apk 30-Jun-2025 08:08 28K
perl-math-int64-doc-0.57-r2.apk 30-Jun-2025 08:08 11K
perl-math-libm-1.00-r15.apk 30-Jun-2025 08:08 10K
perl-math-libm-doc-1.00-r15.apk 30-Jun-2025 08:08 3283
perl-memoize-expirelru-0.56-r0.apk 08-Jun-2025 16:03 6371
perl-memoize-expirelru-doc-0.56-r0.apk 08-Jun-2025 16:03 3935
perl-module-cpants-analyse-1.02-r0.apk 12-Jul-2025 13:43 27K
perl-module-cpants-analyse-doc-1.02-r0.apk 12-Jul-2025 13:43 28K
perl-module-extract-version-1.119-r0.apk 06-Sep-2025 16:20 3328
perl-module-extract-version-doc-1.119-r0.apk 06-Sep-2025 16:20 3541
perl-module-path-0.19-r0.apk 08-Jun-2025 16:03 4825
perl-module-path-doc-0.19-r0.apk 08-Jun-2025 16:03 5940
perl-mojolicious-plugin-openapi-5.11-r0.apk 21-Mar-2025 16:21 28K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk 21-Mar-2025 16:21 33K
perl-moosex-configfromfile-0.14-r0.apk 16-Mar-2025 04:03 4186
perl-moosex-configfromfile-doc-0.14-r0.apk 16-Mar-2025 04:03 4253
perl-moosex-emulate-class-accessor-fast-0.00903..> 16-Mar-2025 04:03 5565
perl-moosex-emulate-class-accessor-fast-doc-0.0..> 16-Mar-2025 04:03 5471
perl-moosex-getopt-0.78-r0.apk 16-Mar-2025 04:03 14K
perl-moosex-getopt-doc-0.78-r0.apk 16-Mar-2025 04:03 25K
perl-moosex-markasmethods-0.15-r0.apk 04-Jun-2025 23:00 5230
perl-moosex-markasmethods-doc-0.15-r0.apk 04-Jun-2025 23:00 5329
perl-moosex-methodattributes-0.32-r0.apk 21-Mar-2025 16:21 8764
perl-moosex-methodattributes-doc-0.32-r0.apk 21-Mar-2025 16:21 22K
perl-moosex-nonmoose-0.27-r1.apk 19-Jun-2025 10:55 8386
perl-moosex-nonmoose-doc-0.27-r1.apk 19-Jun-2025 10:55 9670
perl-moosex-object-pluggable-0.0014-r0.apk 21-Apr-2025 17:33 6123
perl-moosex-object-pluggable-doc-0.0014-r0.apk 21-Apr-2025 17:33 5629
perl-moosex-relatedclassroles-0.004-r0.apk 01-May-2025 06:46 2864
perl-moosex-relatedclassroles-doc-0.004-r0.apk 01-May-2025 06:46 3424
perl-moosex-role-parameterized-1.11-r0.apk 15-Mar-2025 14:08 7912
perl-moosex-role-parameterized-doc-1.11-r0.apk 15-Mar-2025 14:08 24K
perl-moosex-simpleconfig-0.11-r0.apk 16-Mar-2025 04:03 3880
perl-moosex-simpleconfig-doc-0.11-r0.apk 16-Mar-2025 04:03 4258
perl-moosex-traits-pluggable-0.12-r0.apk 01-May-2025 06:46 5065
perl-moosex-traits-pluggable-doc-0.12-r0.apk 01-May-2025 06:46 4307
perl-moosex-types-loadableclass-0.016-r0.apk 30-Apr-2025 22:48 3300
perl-moosex-types-loadableclass-doc-0.016-r0.apk 30-Apr-2025 22:48 3834
perl-moosex-types-path-tiny-0.012-r0.apk 15-Mar-2025 14:08 4102
perl-moosex-types-path-tiny-doc-0.012-r0.apk 15-Mar-2025 14:08 4214
perl-moosex-types-stringlike-0.003-r0.apk 15-Mar-2025 14:08 3120
perl-moosex-types-stringlike-doc-0.003-r0.apk 15-Mar-2025 14:08 3667
perl-moox-typetiny-0.002003-r0.apk 09-Jun-2025 12:05 3637
perl-moox-typetiny-doc-0.002003-r0.apk 09-Jun-2025 12:05 3243
perl-musicbrainz-discid-0.06-r2.apk 30-Jun-2025 08:08 9331
perl-musicbrainz-discid-doc-0.06-r2.apk 30-Jun-2025 08:08 4465
perl-net-amqp-rabbitmq-2.40014-r1.apk 21-Nov-2025 04:18 80K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk 21-Nov-2025 04:18 11K
perl-net-async-redis-6.006-r0.apk 11-Dec-2024 16:22 58K
perl-net-async-redis-doc-6.006-r0.apk 11-Dec-2024 16:22 66K
perl-net-async-redis-xs-1.001-r2.apk 30-Jun-2025 08:08 9007
perl-net-async-redis-xs-doc-1.001-r2.apk 30-Jun-2025 08:08 5521
perl-net-curl-0.58-r0.apk 14-Jan-2026 18:19 62K
perl-net-curl-doc-0.58-r0.apk 14-Jan-2026 18:19 39K
perl-net-curl-promiser-0.20-r0.apk 25-Oct-2024 19:02 9083
perl-net-curl-promiser-anyevent-0.20-r0.apk 25-Oct-2024 19:02 2790
perl-net-curl-promiser-doc-0.20-r0.apk 25-Oct-2024 19:02 12K
perl-net-curl-promiser-ioasync-0.20-r0.apk 25-Oct-2024 19:02 3047
perl-net-curl-promiser-mojo-0.20-r0.apk 25-Oct-2024 19:02 3188
perl-net-irr-0.10-r0.apk 25-Oct-2024 19:02 5597
perl-net-irr-doc-0.10-r0.apk 25-Oct-2024 19:02 5290
perl-net-patricia-1.24-r0.apk 21-Nov-2025 03:24 21K
perl-net-patricia-doc-1.24-r0.apk 21-Nov-2025 03:24 6439
perl-netaddr-mac-0.99-r0.apk 21-Dec-2025 07:46 10K
perl-netaddr-mac-doc-0.99-r0.apk 21-Dec-2025 07:46 8280
perl-number-format-1.76-r1.apk 25-Oct-2024 19:02 15K
perl-number-format-doc-1.76-r1.apk 25-Oct-2024 19:02 9227
perl-number-tolerant-1.710-r0.apk 25-Oct-2024 19:02 15K
perl-number-tolerant-doc-1.710-r0.apk 25-Oct-2024 19:02 26K
perl-object-signature-1.08-r0.apk 04-Apr-2025 11:56 3764
perl-object-signature-doc-1.08-r0.apk 04-Apr-2025 11:56 5540
perl-openapi-client-1.07-r0.apk 25-Oct-2024 19:02 8855
perl-openapi-client-doc-1.07-r0.apk 25-Oct-2024 19:02 7535
perl-pango-1.227-r12.apk 30-Jun-2025 08:08 78K
perl-pango-doc-1.227-r12.apk 30-Jun-2025 08:08 82K
perl-parse-distname-0.05-r0.apk 11-Jul-2025 08:46 5603
perl-parse-distname-doc-0.05-r0.apk 11-Jul-2025 08:46 4480
perl-path-dispatcher-1.08-r0.apk 09-Jun-2025 12:05 14K
perl-path-dispatcher-doc-1.08-r0.apk 09-Jun-2025 12:05 38K
perl-path-iter-0.2-r3.apk 25-Oct-2024 19:02 5345
perl-path-iter-doc-0.2-r3.apk 25-Oct-2024 19:02 5277
perl-perlio-locale-0.10-r13.apk 30-Jun-2025 08:08 4482
perl-perlio-locale-doc-0.10-r13.apk 30-Jun-2025 08:08 3188
perl-plack-middleware-expires-0.06-r3.apk 25-Oct-2024 19:02 3972
perl-plack-middleware-expires-doc-0.06-r3.apk 25-Oct-2024 19:02 3429
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36 3156
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36 3140
perl-plack-middleware-methodoverride-0.20-r0.apk 21-Mar-2025 16:21 3725
perl-plack-middleware-methodoverride-doc-0.20-r..> 21-Mar-2025 16:21 4142
perl-plack-middleware-removeredundantbody-0.09-..> 27-Dec-2024 14:02 2566
perl-plack-middleware-removeredundantbody-doc-0..> 27-Dec-2024 14:02 3152
perl-plack-middleware-reverseproxy-0.16-r2.apk 25-Oct-2024 19:02 3243
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 19:02 3125
perl-plack-test-externalserver-0.02-r0.apk 21-Mar-2025 16:21 2878
perl-plack-test-externalserver-doc-0.02-r0.apk 21-Mar-2025 16:21 3204
perl-pod-cpandoc-0.16-r6.apk 25-Oct-2024 19:02 4679
perl-pod-cpandoc-doc-0.16-r6.apk 25-Oct-2024 19:02 5014
perl-pod-tidy-0.10-r1.apk 25-Oct-2024 19:02 10K
perl-pod-tidy-doc-0.10-r1.apk 25-Oct-2024 19:02 11K
perl-prereqscanner-notquitelite-0.9917-r0.apk 12-Jul-2025 13:43 41K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk 12-Jul-2025 13:43 49K
perl-regexp-trie-0.02-r0.apk 12-Jul-2025 07:22 3048
perl-regexp-trie-doc-0.02-r0.apk 12-Jul-2025 07:22 3388
perl-session-storage-secure-1.000-r2.apk 25-Oct-2024 19:02 9047
perl-session-storage-secure-doc-1.000-r2.apk 25-Oct-2024 19:02 7582
perl-shell-config-generate-0.34-r0.apk 08-Jun-2025 16:03 8026
perl-shell-config-generate-doc-0.34-r0.apk 08-Jun-2025 16:03 6993
perl-shell-guess-0.10-r0.apk 07-Jun-2025 14:55 6111
perl-shell-guess-doc-0.10-r0.apk 07-Jun-2025 14:55 5915
perl-smart-comments-1.06-r0.apk 07-Jul-2025 17:02 12K
perl-smart-comments-doc-1.06-r0.apk 07-Jul-2025 17:02 8728
perl-snmp-5.0404-r14.apk 30-Jun-2025 08:08 69K
perl-snmp-doc-5.0404-r14.apk 30-Jun-2025 08:08 14K
perl-snmp-info-3.974000-r0.apk 12-Sep-2025 04:49 337K
perl-snmp-info-doc-3.974000-r0.apk 12-Sep-2025 04:49 390K
perl-snowball-norwegian-1.2-r0.apk 08-Jun-2025 16:03 5398
perl-snowball-norwegian-doc-1.2-r0.apk 08-Jun-2025 16:03 3989
perl-snowball-swedish-1.2-r0.apk 08-Jun-2025 16:03 5367
perl-snowball-swedish-doc-1.2-r0.apk 08-Jun-2025 16:03 3954
perl-soap-lite-1.27-r5.apk 25-Oct-2024 19:02 110K
perl-soap-lite-doc-1.27-r5.apk 25-Oct-2024 19:02 90K
perl-software-license-0.104007-r0.apk 08-Jul-2025 16:53 107K
perl-software-license-doc-0.104007-r0.apk 08-Jul-2025 16:53 50K
perl-sort-naturally-1.03-r4.apk 25-Oct-2024 19:02 8879
perl-sort-naturally-doc-1.03-r4.apk 25-Oct-2024 19:02 5598
perl-sql-abstract-classic-1.91-r1.apk 25-Oct-2024 19:02 30K
perl-sql-abstract-classic-doc-1.91-r1.apk 25-Oct-2024 19:02 20K
perl-sql-abstract-more-1.44-r0.apk 14-Jul-2025 05:59 28K
perl-sql-abstract-more-doc-1.44-r0.apk 14-Jul-2025 05:59 17K
perl-starman-0.4017-r0.apk 25-Oct-2024 19:02 13K
perl-starman-doc-0.4017-r0.apk 25-Oct-2024 19:02 10K
perl-statistics-basic-1.6611-r0.apk 25-Oct-2024 19:02 9437
perl-statistics-basic-doc-1.6611-r0.apk 25-Oct-2024 19:02 50K
perl-statistics-descriptive-3.0801-r0.apk 25-Oct-2024 19:02 30K
perl-statistics-descriptive-doc-3.0801-r0.apk 25-Oct-2024 19:02 38K
perl-string-camelcase-0.04-r2.apk 25-Oct-2024 19:02 3266
perl-string-camelcase-doc-0.04-r2.apk 25-Oct-2024 19:02 3547
perl-string-escape-2010.002-r0.apk 03-Apr-2025 00:11 9085
perl-string-escape-doc-2010.002-r0.apk 03-Apr-2025 00:11 8021
perl-string-toidentifier-en-0.12-r0.apk 09-Jun-2025 12:06 5570
perl-string-toidentifier-en-doc-0.12-r0.apk 09-Jun-2025 12:06 5714
perl-syntax-keyword-match-0.15-r1.apk 30-Jun-2025 08:08 14K
perl-syntax-keyword-match-doc-0.15-r1.apk 30-Jun-2025 08:08 8030
perl-syntax-operator-equ-0.10-r1.apk 30-Jun-2025 08:08 8018
perl-syntax-operator-equ-doc-0.10-r1.apk 30-Jun-2025 08:08 6727
perl-sys-virt-11.10.0-r0.apk 03-Dec-2025 16:17 210K
perl-sys-virt-doc-11.10.0-r0.apk 03-Dec-2025 16:17 106K
perl-task-catalyst-4.02-r0.apk 15-Jun-2025 04:47 3020
perl-task-catalyst-doc-4.02-r0.apk 15-Jun-2025 04:47 3769
perl-template-plugin-csv-0.04-r3.apk 25-Oct-2024 19:02 2778
perl-template-plugin-csv-doc-0.04-r3.apk 25-Oct-2024 19:02 3108
perl-template-plugin-number-format-1.06-r4.apk 25-Oct-2024 19:02 5024
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 19:02 4507
perl-template-timer-1.00-r0.apk 13-Apr-2025 21:31 3506
perl-template-timer-doc-1.00-r0.apk 13-Apr-2025 21:31 3743
perl-template-tiny-1.16-r0.apk 24-Jul-2025 13:19 5681
perl-template-tiny-doc-1.16-r0.apk 24-Jul-2025 13:19 5135
perl-term-size-0.211-r5.apk 30-Jun-2025 08:08 5686
perl-term-size-doc-0.211-r5.apk 30-Jun-2025 08:08 3891
perl-term-ui-0.50-r1.apk 25-Oct-2024 19:02 10K
perl-term-ui-doc-0.50-r1.apk 25-Oct-2024 19:02 8707
perl-test-api-0.010-r2.apk 25-Oct-2024 19:02 5215
perl-test-api-doc-0.010-r2.apk 25-Oct-2024 19:02 4356
perl-test-distribution-2.00-r1.apk 25-Oct-2024 19:02 7943
perl-test-distribution-doc-2.00-r1.apk 25-Oct-2024 19:02 6228
perl-test-expect-0.34-r0.apk 21-Apr-2025 17:35 3550
perl-test-expect-doc-0.34-r0.apk 21-Apr-2025 17:35 3658
perl-test-file-1.995-r0.apk 19-Apr-2025 17:54 11K
perl-test-file-doc-1.995-r0.apk 19-Apr-2025 17:54 6957
perl-test-kwalitee-1.28-r0.apk 12-Jul-2025 13:43 6534
perl-test-kwalitee-doc-1.28-r0.apk 12-Jul-2025 13:43 7058
perl-test-modern-0.013-r3.apk 25-Oct-2024 19:02 15K
perl-test-modern-doc-0.013-r3.apk 25-Oct-2024 19:02 10K
perl-test-perl-critic-1.04-r0.apk 11-Jul-2025 16:50 7000
perl-test-perl-critic-doc-1.04-r0.apk 11-Jul-2025 16:50 6564
perl-test-roo-1.004-r3.apk 25-Oct-2024 19:02 12K
perl-test-roo-doc-1.004-r3.apk 25-Oct-2024 19:02 15K
perl-test-trap-0.3.5-r1.apk 25-Oct-2024 19:02 20K
perl-test-trap-doc-0.3.5-r1.apk 25-Oct-2024 19:02 20K
perl-test-utf8-1.03-r0.apk 16-Nov-2024 15:17 5704
perl-test-utf8-doc-1.03-r0.apk 16-Nov-2024 15:17 4978
perl-test-www-mechanize-1.60-r0.apk 13-Apr-2025 21:31 15K
perl-test-www-mechanize-catalyst-0.62-r0.apk 20-Apr-2025 04:32 7532
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk 20-Apr-2025 04:32 6373
perl-test-www-mechanize-doc-1.60-r0.apk 13-Apr-2025 21:31 10K
perl-text-brew-0.02-r5.apk 25-Oct-2024 19:02 4643
perl-text-brew-doc-0.02-r5.apk 25-Oct-2024 19:02 4241
perl-text-german-0.06-r0.apk 07-Jun-2025 02:00 13K
perl-text-german-doc-0.06-r0.apk 07-Jun-2025 02:00 3108
perl-text-simpletable-2.07-r0.apk 21-Mar-2025 16:21 4568
perl-text-simpletable-doc-2.07-r0.apk 21-Mar-2025 16:21 3535
perl-text-worddiff-0.09-r0.apk 10-Jun-2025 00:53 10K
perl-text-worddiff-doc-0.09-r0.apk 10-Jun-2025 00:53 14K
perl-throwable-1.001-r1.apk 25-Oct-2024 19:02 6369
perl-throwable-doc-1.001-r1.apk 25-Oct-2024 19:02 8199
perl-tie-toobject-0.03-r0.apk 21-Mar-2025 16:21 2665
perl-tie-toobject-doc-0.03-r0.apk 21-Mar-2025 16:21 3243
perl-tree-simple-visitorfactory-0.16-r0.apk 21-Mar-2025 16:21 20K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk 21-Mar-2025 16:21 46K
perl-uri-find-20160806-r0.apk 23-Mar-2025 07:58 14K
perl-uri-find-doc-20160806-r0.apk 23-Mar-2025 07:58 9341
perl-uri-ws-0.03-r0.apk 21-Mar-2025 16:21 2396
perl-uri-ws-doc-0.03-r0.apk 21-Mar-2025 16:21 4430
perl-url-encode-0.03-r4.apk 25-Oct-2024 19:02 5280
perl-url-encode-doc-0.03-r4.apk 25-Oct-2024 19:02 4814
perl-web-machine-0.17-r0.apk 13-Jun-2025 18:17 20K
perl-web-machine-doc-0.17-r0.apk 13-Jun-2025 18:17 29K
perl-web-scraper-0.38-r0.apk 11-Jul-2025 16:50 7708
perl-web-scraper-doc-0.38-r0.apk 11-Jul-2025 16:50 8266
perl-xml-bare-0.53-r14.apk 30-Jun-2025 08:08 28K
perl-xml-bare-doc-0.53-r14.apk 30-Jun-2025 08:08 11K
perl-xml-rpc-2.1-r0.apk 25-Oct-2024 19:02 5871
perl-xml-rpc-doc-2.1-r0.apk 25-Oct-2024 19:02 4975
perl-xml-xpathengine-0.14-r0.apk 08-Jul-2025 16:54 22K
perl-xml-xpathengine-doc-0.14-r0.apk 08-Jul-2025 16:54 11K
persistent-cache-cpp-1.0.9-r0.apk 08-Sep-2025 01:00 44K
persistent-cache-cpp-dev-1.0.9-r0.apk 08-Sep-2025 01:00 18K
persistent-cache-cpp-doc-1.0.9-r0.apk 08-Sep-2025 01:00 3271
pest-language-server-0.3.9-r0.apk 25-Oct-2024 19:02 1M
petitboot-1.15-r1.apk 15-Jan-2026 05:27 189K
petitboot-dbg-1.15-r1.apk 15-Jan-2026 05:27 592K
petitboot-doc-1.15-r1.apk 15-Jan-2026 05:27 8244
pfetch-1.9.4-r0.apk 22-Oct-2025 22:32 23K
pfetch-doc-1.9.4-r0.apk 22-Oct-2025 22:32 5860
pgcat-1.2.0-r1.apk 01-Jan-2025 17:38 3M
pgcat-openrc-1.2.0-r1.apk 01-Jan-2025 17:38 1922
pgqd-3.5-r0.apk 28-Jan-2026 19:08 25K
pgqd-doc-3.5-r0.apk 28-Jan-2026 19:08 3314
pgqd-openrc-3.5-r0.apk 28-Jan-2026 19:08 2119
phoronix-test-suite-10.8.4-r2.apk 25-Oct-2024 19:02 4M
phoronix-test-suite-bash-completion-10.8.4-r2.apk 25-Oct-2024 19:02 1816
phoronix-test-suite-doc-10.8.4-r2.apk 25-Oct-2024 19:02 287K
phosh-osk-data-0.42.0-r0.apk 16-Dec-2025 16:43 1313
phosh-osk-data-de-0.42.0-r0.apk 16-Dec-2025 16:43 64M
phosh-osk-data-es-0.42.0-r0.apk 16-Dec-2025 16:43 52M
phosh-osk-data-fi-0.42.0-r0.apk 16-Dec-2025 16:43 64M
phosh-osk-data-it-0.42.0-r0.apk 16-Dec-2025 16:43 58M
phosh-osk-data-nl-0.42.0-r0.apk 16-Dec-2025 16:43 54M
phosh-osk-data-pl-0.42.0-r0.apk 16-Dec-2025 16:43 57M
phosh-osk-data-pt-0.42.0-r0.apk 16-Dec-2025 16:43 57M
phosh-osk-data-ru-0.42.0-r0.apk 16-Dec-2025 16:43 20M
phosh-osk-data-se-0.42.0-r0.apk 16-Dec-2025 16:43 15M
phosh-osk-data-uk-0.42.0-r0.apk 16-Dec-2025 16:43 16M
phosh-tour-0.52.0-r0.apk 04-Jan-2026 16:13 39K
phosh-tour-lang-0.52.0-r0.apk 04-Jan-2026 16:13 36K
phosh-tour-systemd-0.52.0-r0.apk 04-Jan-2026 16:13 1809
php81-8.1.34-r1.apk 14-Jan-2026 05:56 2M
php81-apache2-8.1.34-r1.apk 14-Jan-2026 05:56 2M
php81-bcmath-8.1.34-r1.apk 14-Jan-2026 05:56 17K
php81-bz2-8.1.34-r1.apk 14-Jan-2026 05:56 9928
php81-calendar-8.1.34-r1.apk 14-Jan-2026 05:56 12K
php81-cgi-8.1.34-r1.apk 14-Jan-2026 05:56 2M
php81-common-8.1.34-r1.apk 14-Jan-2026 05:56 25K
php81-ctype-8.1.34-r1.apk 14-Jan-2026 05:56 4772
php81-curl-8.1.34-r1.apk 14-Jan-2026 05:56 33K
php81-dba-8.1.34-r1.apk 14-Jan-2026 05:56 22K
php81-dev-8.1.34-r1.apk 14-Jan-2026 05:56 939K
php81-doc-8.1.34-r1.apk 14-Jan-2026 05:56 68K
php81-dom-8.1.34-r1.apk 14-Jan-2026 05:56 59K
php81-embed-8.1.34-r1.apk 14-Jan-2026 05:56 2M
php81-enchant-8.1.34-r1.apk 14-Jan-2026 05:56 8501
php81-exif-8.1.34-r1.apk 14-Jan-2026 05:56 29K
php81-ffi-8.1.34-r1.apk 14-Jan-2026 05:56 66K
php81-fileinfo-8.1.34-r1.apk 14-Jan-2026 05:56 377K
php81-fpm-8.1.34-r1.apk 14-Jan-2026 05:56 2M
php81-ftp-8.1.34-r1.apk 14-Jan-2026 05:56 23K
php81-gd-8.1.34-r1.apk 14-Jan-2026 05:56 123K
php81-gettext-8.1.34-r1.apk 14-Jan-2026 05:56 5761
php81-gmp-8.1.34-r1.apk 14-Jan-2026 05:56 20K
php81-iconv-8.1.34-r1.apk 14-Jan-2026 05:56 17K
php81-imap-8.1.34-r1.apk 14-Jan-2026 05:56 32K
php81-intl-8.1.34-r1.apk 14-Jan-2026 05:56 133K
php81-ldap-8.1.34-r1.apk 14-Jan-2026 05:56 30K
php81-litespeed-8.1.34-r1.apk 14-Jan-2026 05:56 2M
php81-mbstring-8.1.34-r1.apk 14-Jan-2026 05:56 570K
php81-mysqli-8.1.34-r1.apk 14-Jan-2026 05:56 39K
php81-mysqlnd-8.1.34-r1.apk 14-Jan-2026 05:56 77K
php81-odbc-8.1.34-r1.apk 14-Jan-2026 05:56 23K
php81-opcache-8.1.34-r1.apk 14-Jan-2026 05:56 374K
php81-openssl-8.1.34-r1.apk 14-Jan-2026 05:56 70K
php81-pcntl-8.1.34-r1.apk 14-Jan-2026 05:56 13K
php81-pdo-8.1.34-r1.apk 14-Jan-2026 05:56 40K
php81-pdo_dblib-8.1.34-r1.apk 14-Jan-2026 05:56 12K
php81-pdo_mysql-8.1.34-r1.apk 14-Jan-2026 05:56 13K
php81-pdo_odbc-8.1.34-r1.apk 14-Jan-2026 05:56 12K
php81-pdo_pgsql-8.1.34-r1.apk 14-Jan-2026 05:56 19K
php81-pdo_sqlite-8.1.34-r1.apk 14-Jan-2026 05:56 12K
php81-pear-8.1.34-r1.apk 14-Jan-2026 05:56 338K
php81-pecl-amqp-2.2.0-r0.apk 03-Jan-2026 03:16 53K
php81-pecl-apcu-5.1.28-r0.apk 07-Dec-2025 18:05 54K
php81-pecl-ast-1.1.3-r0.apk 10-Aug-2025 17:43 19K
php81-pecl-brotli-0.18.3-r0.apk 01-Dec-2025 02:45 14K
php81-pecl-couchbase-4.3.0-r0.apk 15-Jun-2025 03:57 5M
php81-pecl-csv-0.4.3-r0.apk 25-Feb-2025 16:30 10K
php81-pecl-decimal-1.5.0-r1.apk 25-Oct-2024 19:02 17K
php81-pecl-ds-1.6.0-r0.apk 10-May-2025 03:12 55K
php81-pecl-event-3.1.4-r0.apk 25-Oct-2024 19:02 48K
php81-pecl-grpc-1.76.0-r0.apk 24-Oct-2025 19:20 4M
php81-pecl-igbinary-3.2.17_rc1-r0.apk 27-Nov-2025 21:27 33K
php81-pecl-imagick-3.8.1-r0.apk 28-Nov-2025 00:06 102K
php81-pecl-imagick-dev-3.8.1-r0.apk 28-Nov-2025 00:06 2348
php81-pecl-immutable_cache-6.1.0-r0.apk 25-Oct-2024 19:02 40K
php81-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 19:02 10K
php81-pecl-luasandbox-4.1.2-r0.apk 25-Oct-2024 19:02 29K
php81-pecl-lzf-1.7.0-r0.apk 25-Oct-2024 19:02 7178
php81-pecl-mailparse-3.1.9-r0.apk 30-Sep-2025 12:38 22K
php81-pecl-maxminddb-1.13.0-r0.apk 21-Nov-2025 04:18 7978
php81-pecl-mcrypt-1.0.9-r0.apk 05-Aug-2025 12:42 14K
php81-pecl-memcache-8.2-r1.apk 25-Oct-2024 19:02 41K
php81-pecl-memcached-3.4.0-r0.apk 13-Oct-2025 10:34 45K
php81-pecl-memprof-3.1.0-r0.apk 24-Feb-2025 17:23 13K
php81-pecl-mongodb-2.1.7-r0.apk 29-Jan-2026 20:43 808K
php81-pecl-msgpack-3.0.0-r0.apk 25-Oct-2024 19:02 26K
php81-pecl-oauth-2.0.10-r0.apk 09-Oct-2025 18:38 34K
php81-pecl-opentelemetry-1.2.1-r0.apk 04-Oct-2025 23:14 12K
php81-pecl-pcov-1.0.12-r0.apk 04-Dec-2024 17:17 9363
php81-pecl-protobuf-4.33.4-r0.apk 15-Jan-2026 06:34 144K
php81-pecl-psr-1.2.0-r0.apk 25-Oct-2024 19:02 17K
php81-pecl-rdkafka-6.0.5-r0.apk 04-Nov-2024 12:52 35K
php81-pecl-redis-6.3.0-r0.apk 07-Nov-2025 23:32 180K
php81-pecl-smbclient-1.2.0_pre-r0.apk 10-Dec-2024 19:02 20K
php81-pecl-ssh2-1.4.1-r0.apk 25-Oct-2024 19:02 27K
php81-pecl-swoole-6.1.6-r0.apk 28-Dec-2025 20:30 926K
php81-pecl-swoole-dev-6.1.6-r0.apk 28-Dec-2025 20:30 220K
php81-pecl-timezonedb-2025.2-r0.apk 27-Mar-2025 11:06 189K
php81-pecl-uploadprogress-2.0.2-r1.apk 25-Oct-2024 19:02 6513
php81-pecl-uploadprogress-doc-2.0.2-r1.apk 25-Oct-2024 19:02 10K
php81-pecl-uuid-1.3.0-r0.apk 14-May-2025 00:10 6430
php81-pecl-vips-1.0.13-r0.apk 25-Oct-2024 19:02 16K
php81-pecl-xdebug-3.5.0-r0.apk 04-Dec-2025 22:56 154K
php81-pecl-xhprof-2.3.10-r0.apk 25-Oct-2024 19:02 12K
php81-pecl-xhprof-assets-2.3.10-r0.apk 25-Oct-2024 19:02 801K
php81-pecl-xlswriter-1.5.8-r0.apk 11-Nov-2024 01:44 222K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk 25-Oct-2024 19:02 35K
php81-pecl-yaml-2.3.0-r0.apk 14-Nov-2025 21:57 18K
php81-pecl-zephir_parser-1.8.0-r0.apk 29-Sep-2025 12:34 63K
php81-pecl-zstd-0.15.2-r0.apk 09-Sep-2025 20:06 16K
php81-pgsql-8.1.34-r1.apk 14-Jan-2026 05:56 43K
php81-phar-8.1.34-r1.apk 14-Jan-2026 05:56 120K
php81-phpdbg-8.1.34-r1.apk 14-Jan-2026 05:56 2M
php81-posix-8.1.34-r1.apk 14-Jan-2026 05:56 11K
php81-pspell-8.1.34-r1.apk 14-Jan-2026 05:56 8017
php81-session-8.1.34-r1.apk 14-Jan-2026 05:56 35K
php81-shmop-8.1.34-r1.apk 14-Jan-2026 05:56 5995
php81-simplexml-8.1.34-r1.apk 14-Jan-2026 05:56 22K
php81-snmp-8.1.34-r1.apk 14-Jan-2026 05:56 20K
php81-soap-8.1.34-r1.apk 14-Jan-2026 05:56 133K
php81-sockets-8.1.34-r1.apk 14-Jan-2026 05:56 34K
php81-sodium-8.1.34-r1.apk 14-Jan-2026 05:56 25K
php81-spx-0.4.21-r0.apk 09-Oct-2025 07:23 105K
php81-sqlite3-8.1.34-r1.apk 14-Jan-2026 05:56 20K
php81-sysvmsg-8.1.34-r1.apk 14-Jan-2026 05:56 7490
php81-sysvsem-8.1.34-r1.apk 14-Jan-2026 05:56 5683
php81-sysvshm-8.1.34-r1.apk 14-Jan-2026 05:56 6595
php81-tideways_xhprof-5.0.4-r1.apk 25-Oct-2024 19:02 13K
php81-tidy-8.1.34-r1.apk 14-Jan-2026 05:56 18K
php81-tokenizer-8.1.34-r1.apk 14-Jan-2026 05:56 11K
php81-xml-8.1.34-r1.apk 14-Jan-2026 05:56 18K
php81-xmlreader-8.1.34-r1.apk 14-Jan-2026 05:56 13K
php81-xmlwriter-8.1.34-r1.apk 14-Jan-2026 05:56 11K
php81-xsl-8.1.34-r1.apk 14-Jan-2026 05:56 13K
php81-zip-8.1.34-r1.apk 14-Jan-2026 05:56 24K
php82-8.2.30-r2.apk 14-Jan-2026 05:56 2M
php82-apache2-8.2.30-r2.apk 14-Jan-2026 05:56 2M
php82-bcmath-8.2.30-r2.apk 14-Jan-2026 05:56 17K
php82-bz2-8.2.30-r2.apk 14-Jan-2026 05:56 9894
php82-calendar-8.2.30-r2.apk 14-Jan-2026 05:56 12K
php82-cgi-8.2.30-r2.apk 14-Jan-2026 05:56 2M
php82-common-8.2.30-r2.apk 14-Jan-2026 05:56 25K
php82-ctype-8.2.30-r2.apk 14-Jan-2026 05:56 4759
php82-curl-8.2.30-r2.apk 14-Jan-2026 05:56 35K
php82-dba-8.2.30-r2.apk 14-Jan-2026 05:56 23K
php82-dbg-8.2.30-r2.apk 14-Jan-2026 05:56 41M
php82-dev-8.2.30-r2.apk 14-Jan-2026 05:56 968K
php82-doc-8.2.30-r2.apk 14-Jan-2026 05:56 73K
php82-dom-8.2.30-r2.apk 14-Jan-2026 05:56 60K
php82-embed-8.2.30-r2.apk 14-Jan-2026 05:56 2M
php82-enchant-8.2.30-r2.apk 14-Jan-2026 05:56 8496
php82-exif-8.2.30-r2.apk 14-Jan-2026 05:56 29K
php82-ffi-8.2.30-r2.apk 14-Jan-2026 05:56 67K
php82-fileinfo-8.2.30-r2.apk 14-Jan-2026 05:56 378K
php82-fpm-8.2.30-r2.apk 14-Jan-2026 05:56 2M
php82-ftp-8.2.30-r2.apk 14-Jan-2026 05:56 23K
php82-gd-8.2.30-r2.apk 14-Jan-2026 05:56 123K
php82-gettext-8.2.30-r2.apk 14-Jan-2026 05:56 5811
php82-gmp-8.2.30-r2.apk 14-Jan-2026 05:56 21K
php82-iconv-8.2.30-r2.apk 14-Jan-2026 05:56 18K
php82-imap-8.2.30-r2.apk 14-Jan-2026 05:56 32K
php82-intl-8.2.30-r2.apk 14-Jan-2026 05:56 150K
php82-ldap-8.2.30-r2.apk 14-Jan-2026 05:56 30K
php82-litespeed-8.2.30-r2.apk 14-Jan-2026 05:56 2M
php82-mbstring-8.2.30-r2.apk 14-Jan-2026 05:56 628K
php82-mysqli-8.2.30-r2.apk 14-Jan-2026 05:56 40K
php82-mysqlnd-8.2.30-r2.apk 14-Jan-2026 05:56 77K
php82-odbc-8.2.30-r2.apk 14-Jan-2026 05:56 24K
php82-opcache-8.2.30-r2.apk 14-Jan-2026 05:56 369K
php82-openssl-8.2.30-r2.apk 14-Jan-2026 05:56 71K
php82-pcntl-8.2.30-r2.apk 14-Jan-2026 05:56 14K
php82-pdlib-1.1.0-r2.apk 17-Jan-2026 22:42 481K
php82-pdo-8.2.30-r2.apk 14-Jan-2026 05:56 42K
php82-pdo_dblib-8.2.30-r2.apk 14-Jan-2026 05:56 12K
php82-pdo_mysql-8.2.30-r2.apk 14-Jan-2026 05:56 13K
php82-pdo_odbc-8.2.30-r2.apk 14-Jan-2026 05:56 13K
php82-pdo_pgsql-8.2.30-r2.apk 14-Jan-2026 05:56 19K
php82-pdo_sqlite-8.2.30-r2.apk 14-Jan-2026 05:56 12K
php82-pear-8.2.30-r2.apk 14-Jan-2026 05:56 338K
php82-pecl-amqp-2.2.0-r0.apk 03-Jan-2026 03:16 53K
php82-pecl-apcu-5.1.28-r0.apk 07-Dec-2025 18:05 54K
php82-pecl-apfd-1.0.3-r0.apk 25-Oct-2024 19:02 4425
php82-pecl-ast-1.1.3-r0.apk 24-Oct-2025 14:48 19K
php82-pecl-brotli-0.18.3-r0.apk 01-Dec-2025 02:45 14K
php82-pecl-couchbase-4.3.0-r0.apk 24-Oct-2025 14:48 5M
php82-pecl-decimal-1.5.0-r1.apk 24-Oct-2025 14:48 17K
php82-pecl-ds-1.6.0-r0.apk 24-Oct-2025 14:48 55K
php82-pecl-event-3.1.4-r0.apk 24-Oct-2025 14:48 48K
php82-pecl-excimer-1.2.5-r0.apk 20-May-2025 15:41 19K
php82-pecl-grpc-1.76.0-r0.apk 24-Oct-2025 19:20 4M
php82-pecl-igbinary-3.2.17_rc1-r0.apk 27-Nov-2025 21:27 34K
php82-pecl-imagick-3.8.1-r0.apk 28-Nov-2025 00:06 102K
php82-pecl-imagick-dev-3.8.1-r0.apk 28-Nov-2025 00:06 2351
php82-pecl-immutable_cache-6.1.0-r0.apk 25-Oct-2024 19:02 40K
php82-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 19:02 10K
php82-pecl-luasandbox-4.1.2-r0.apk 24-Oct-2025 14:48 29K
php82-pecl-lzf-1.7.0-r0.apk 24-Oct-2025 14:48 7127
php82-pecl-mailparse-3.1.9-r0.apk 24-Oct-2025 14:48 23K
php82-pecl-maxminddb-1.13.0-r0.apk 21-Nov-2025 04:18 7986
php82-pecl-mcrypt-1.0.9-r0.apk 24-Oct-2025 14:48 14K
php82-pecl-memcache-8.2-r2.apk 24-Oct-2025 14:48 41K
php82-pecl-memcached-3.4.0-r0.apk 24-Oct-2025 14:48 45K
php82-pecl-mongodb-2.1.7-r0.apk 29-Jan-2026 20:43 808K
php82-pecl-msgpack-3.0.0-r0.apk 24-Oct-2025 14:48 26K
php82-pecl-oauth-2.0.10-r0.apk 09-Oct-2025 18:38 34K
php82-pecl-opentelemetry-1.2.1-r0.apk 24-Oct-2025 14:48 12K
php82-pecl-pcov-1.0.12-r0.apk 24-Oct-2025 14:48 9440
php82-pecl-protobuf-4.33.4-r0.apk 15-Jan-2026 06:34 144K
php82-pecl-psr-1.2.0-r1.apk 24-Oct-2025 14:48 16K
php82-pecl-rdkafka-6.0.5-r0.apk 24-Oct-2025 14:48 35K
php82-pecl-redis-6.3.0-r0.apk 07-Nov-2025 23:32 182K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk 25-Oct-2024 19:02 29K
php82-pecl-smbclient-1.2.0_pre-r0.apk 24-Oct-2025 14:48 20K
php82-pecl-ssh2-1.4.1-r0.apk 24-Oct-2025 14:48 26K
php82-pecl-swoole-6.1.6-r0.apk 28-Dec-2025 20:30 929K
php82-pecl-swoole-dev-6.1.6-r0.apk 28-Dec-2025 20:30 220K
php82-pecl-teds-1.3.0-r0.apk 25-Oct-2024 19:02 123K
php82-pecl-timezonedb-2025.2-r0.apk 24-Oct-2025 14:48 189K
php82-pecl-uploadprogress-2.0.2-r2.apk 24-Oct-2025 14:48 6496
php82-pecl-uploadprogress-doc-2.0.2-r2.apk 24-Oct-2025 14:48 9820
php82-pecl-uuid-1.3.0-r0.apk 24-Oct-2025 14:48 6396
php82-pecl-vips-1.0.13-r0.apk 24-Oct-2025 14:48 16K
php82-pecl-vld-0.19.1-r0.apk 20-Jul-2025 13:27 15K
php82-pecl-xdebug-3.5.0-r0.apk 04-Dec-2025 22:56 154K
php82-pecl-xhprof-2.3.10-r0.apk 24-Oct-2025 14:48 12K
php82-pecl-xhprof-assets-2.3.10-r0.apk 24-Oct-2025 14:48 800K
php82-pecl-xlswriter-1.5.8-r0.apk 24-Oct-2025 14:48 221K
php82-pecl-yaml-2.3.0-r0.apk 14-Nov-2025 21:57 18K
php82-pecl-zephir_parser-1.8.0-r0.apk 29-Sep-2025 12:34 63K
php82-pecl-zstd-0.15.2-r0.apk 24-Oct-2025 14:48 16K
php82-pgsql-8.2.30-r2.apk 14-Jan-2026 05:56 44K
php82-phar-8.2.30-r2.apk 14-Jan-2026 05:56 121K
php82-phpdbg-8.2.30-r2.apk 14-Jan-2026 05:56 2M
php82-posix-8.2.30-r2.apk 14-Jan-2026 05:56 11K
php82-pspell-8.2.30-r2.apk 14-Jan-2026 05:56 7993
php82-session-8.2.30-r2.apk 14-Jan-2026 05:56 36K
php82-shmop-8.2.30-r2.apk 14-Jan-2026 05:56 5975
php82-simplexml-8.2.30-r2.apk 14-Jan-2026 05:56 22K
php82-snappy-0.2.3-r0.apk 10-Apr-2025 12:16 5128
php82-snmp-8.2.30-r2.apk 14-Jan-2026 05:56 21K
php82-soap-8.2.30-r2.apk 14-Jan-2026 05:56 135K
php82-sockets-8.2.30-r2.apk 14-Jan-2026 05:56 35K
php82-sodium-8.2.30-r2.apk 14-Jan-2026 05:56 26K
php82-spx-0.4.21-r0.apk 24-Oct-2025 14:48 105K
php82-sqlite3-8.2.30-r2.apk 14-Jan-2026 05:56 21K
php82-sysvmsg-8.2.30-r2.apk 14-Jan-2026 05:56 7736
php82-sysvsem-8.2.30-r2.apk 14-Jan-2026 05:56 5648
php82-sysvshm-8.2.30-r2.apk 14-Jan-2026 05:56 6610
php82-tidy-8.2.30-r2.apk 14-Jan-2026 05:56 18K
php82-tokenizer-8.2.30-r2.apk 14-Jan-2026 05:56 11K
php82-xml-8.2.30-r2.apk 14-Jan-2026 05:56 18K
php82-xmlreader-8.2.30-r2.apk 14-Jan-2026 05:56 13K
php82-xmlwriter-8.2.30-r2.apk 14-Jan-2026 05:56 11K
php82-xsl-8.2.30-r2.apk 14-Jan-2026 05:56 13K
php82-zip-8.2.30-r2.apk 14-Jan-2026 05:56 27K
php83-pecl-apfd-1.0.3-r0.apk 25-Oct-2024 19:02 4422
php83-pecl-eio-3.1.4-r0.apk 20-Jul-2025 15:26 28K
php83-pecl-ev-1.2.3-r0.apk 27-Jan-2026 19:26 40K
php83-pecl-excimer-1.2.5-r0.apk 20-May-2025 15:41 19K
php83-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 19:02 10K
php83-pecl-oauth-2.0.10-r0.apk 09-Oct-2025 18:38 34K
php83-pecl-phpy-1.0.11-r1.apk 14-May-2025 00:10 40K
php83-pecl-uv-0.3.0-r0.apk 25-Oct-2024 19:02 49K
php83-pecl-vld-0.19.1-r0.apk 20-Jul-2025 13:27 15K
php83-pecl-zmq-1.1.4-r0.apk 25-Oct-2024 19:02 30K
php84-pecl-csv-0.4.3-r0.apk 25-Feb-2025 16:30 9956
php84-pecl-ev-1.2.3-r0.apk 27-Jan-2026 19:26 40K
php84-pecl-mcrypt-1.0.9-r0.apk 05-Aug-2025 12:42 14K
php84-pecl-memprof-3.1.0-r0.apk 24-Feb-2025 17:23 13K
php84-pecl-oauth-2.0.10-r0.apk 09-Oct-2025 18:38 34K
php84-pecl-phpy-1.0.11-r1.apk 14-May-2025 00:10 40K
php84-pecl-runkit7-4.0.0_alpha6-r0.apk 30-Dec-2025 21:15 31K
php84-pecl-solr-2.9.1-r0.apk 25-Nov-2025 05:10 87K
php84-pecl-uv-0.3.0-r0.apk 28-Oct-2024 12:47 49K
php84-pecl-vld-0.19.1-r0.apk 20-Jul-2025 13:27 15K
php84-snappy-0.2.3-r0.apk 10-Apr-2025 12:16 5132
php85-pecl-ev-1.2.3-r0.apk 27-Jan-2026 19:26 41K
php85-pecl-luasandbox-4.1.3-r0.apk 20-Dec-2025 11:45 29K
php85-pecl-oauth-2.0.10-r0.apk 09-Oct-2025 18:38 36K
php85-pecl-runkit7-4.0.0_alpha6-r0.apk 30-Dec-2025 21:15 31K
php85-pecl-solr-2.9.1-r0.apk 25-Nov-2025 05:10 88K
php85-pecl-vld-0.19.1-r1.apk 24-Sep-2025 13:21 15K
php85-snappy-0.2.3-r0.apk 25-Dec-2025 00:03 5080
phpactor-2025.10.17.0-r0.apk 19-Nov-2025 04:05 4M
pick-4.0.0-r0.apk 25-Oct-2024 19:02 10K
pick-doc-4.0.0-r0.apk 25-Oct-2024 19:02 3402
pict-rs-0.5.19-r1.apk 14-Oct-2025 14:16 6M
pict-rs-openrc-0.5.19-r1.apk 14-Oct-2025 14:16 1932
pidif-0.1-r1.apk 25-Oct-2024 19:02 159K
piglit-0_git20241106-r1.apk 14-May-2025 00:10 89M
pihole-6.2.3-r0.apk 20-Jun-2025 18:05 6M
pihole-bash-completion-6.2.3-r0.apk 20-Jun-2025 18:05 2236
pihole-doc-6.2.3-r0.apk 20-Jun-2025 18:05 3841
pihole-openrc-6.2.3-r0.apk 20-Jun-2025 18:05 1889
pikchr-cmd-1.0.0-r0.apk 25-Oct-2025 10:43 44K
pikchr-cmd-doc-1.0.0-r0.apk 25-Oct-2025 10:43 2298
piler-1.4.7-r0.apk 16-Jan-2025 10:17 2M
piler-openrc-1.4.7-r0.apk 16-Jan-2025 10:17 2240
pimd-3.0_git20220201-r0.apk 25-Oct-2024 19:02 86K
pimd-dense-2.1.0-r0.apk 25-Oct-2024 19:02 53K
pimd-dense-doc-2.1.0-r0.apk 25-Oct-2024 19:02 20K
pimd-dense-openrc-2.1.0-r0.apk 25-Oct-2024 19:02 1895
pimd-doc-3.0_git20220201-r0.apk 25-Oct-2024 19:02 35K
pimd-openrc-3.0_git20220201-r0.apk 25-Oct-2024 19:02 1664
pinentry-bemenu-0.14.0-r1.apk 06-Jul-2025 00:17 8353
pipeleek-0.52.0-r0.apk 23-Jan-2026 15:22 19M
pipeleek-doc-0.52.0-r0.apk 23-Jan-2026 15:22 13K
piper-phonemize-2023.11.14.4-r9.apk 12-Jul-2025 16:07 9M
piper-phonemize-dev-2023.11.14.4-r9.apk 12-Jul-2025 16:07 394K
piper-phonemize-libs-2023.11.14.4-r9.apk 12-Jul-2025 16:07 69K
piper-tts-2023.11.14.2-r14.apk 12-Jul-2025 16:07 131K
piper-tts-dev-2023.11.14.2-r14.apk 12-Jul-2025 16:07 141K
piping-server-0.18.0-r0.apk 25-Oct-2024 19:02 1M
piping-server-openrc-0.18.0-r0.apk 25-Oct-2024 19:02 1837
pithos-1.6.1-r0.apk 25-Oct-2024 19:02 104K
pithos-doc-1.6.1-r0.apk 25-Oct-2024 19:02 2140
pithos-pyc-1.6.1-r0.apk 25-Oct-2024 19:02 154K
pitivi-2023.03-r2.apk 23-Dec-2024 03:30 3M
pitivi-lang-2023.03-r2.apk 23-Dec-2024 03:30 678K
pitivi-pyc-2023.03-r2.apk 23-Dec-2024 03:30 700K
pixi-0.24.2-r0.apk 25-Oct-2024 19:02 9M
pixi-bash-completion-0.24.2-r0.apk 25-Oct-2024 19:02 7327
pixi-doc-0.24.2-r0.apk 25-Oct-2024 19:02 7033
pixi-fish-completion-0.24.2-r0.apk 25-Oct-2024 19:02 10K
pixi-zsh-completion-0.24.2-r0.apk 25-Oct-2024 19:02 10K
pixiewps-1.4.2-r2.apk 14-May-2025 00:10 51K
pixiewps-doc-1.4.2-r2.apk 14-May-2025 00:10 3484
plakar-1.0.6-r2.apk 17-Jan-2026 22:42 20M
plakar-doc-1.0.6-r2.apk 17-Jan-2026 22:42 2129
planner-0.14.92-r1.apk 08-Dec-2024 21:48 345K
planner-doc-0.14.92-r1.apk 08-Dec-2024 21:48 2208
planner-lang-0.14.92-r1.apk 08-Dec-2024 21:48 825K
platformio-core-6.1.7-r3.apk 25-Oct-2024 19:02 263K
platformio-core-pyc-6.1.7-r3.apk 25-Oct-2024 19:02 552K
please-0.5.6-r0.apk 29-Aug-2025 08:32 1M
please-build-17.22.0-r2.apk 17-Jan-2026 22:42 8M
please-build-bash-completion-17.22.0-r2.apk 17-Jan-2026 22:42 1985
please-build-tools-17.22.0-r2.apk 17-Jan-2026 22:42 11M
please-build-zsh-completion-17.22.0-r2.apk 17-Jan-2026 22:42 1990
please-doc-0.5.6-r0.apk 29-Aug-2025 08:32 16K
plib-1.8.5-r3.apk 25-Oct-2024 19:02 849K
plots-0.7.0-r1.apk 06-Nov-2024 09:41 516K
plplot-5.15.0-r2.apk 25-Oct-2024 19:02 31K
plplot-dev-5.15.0-r2.apk 25-Oct-2024 19:02 59K
plplot-doc-5.15.0-r2.apk 25-Oct-2024 19:02 311K
plplot-libs-5.15.0-r2.apk 25-Oct-2024 19:02 200K
pmccabe-2.8-r1.apk 25-Oct-2024 19:02 23K
pmccabe-doc-2.8-r1.apk 25-Oct-2024 19:02 7318
pneink-theme-1.3-r0.apk 30-Jul-2025 00:21 10K
pneink-theme-doc-1.3-r0.apk 30-Jul-2025 00:21 1690
pnmixer-0.7.2-r4.apk 17-Jan-2026 22:42 138K
pnmixer-doc-0.7.2-r4.apk 17-Jan-2026 22:42 2360
pnmixer-lang-0.7.2-r4.apk 17-Jan-2026 22:42 25K
pokoy-0.2.5-r0.apk 25-Oct-2024 19:02 11K
pokoy-doc-0.2.5-r0.apk 25-Oct-2024 19:02 3067
policycoreutils-3.6-r1.apk 25-Oct-2024 19:02 54K
policycoreutils-bash-completion-3.6-r1.apk 25-Oct-2024 19:02 2470
policycoreutils-doc-3.6-r1.apk 25-Oct-2024 19:02 22K
policycoreutils-lang-3.6-r1.apk 25-Oct-2024 19:02 105K
polycule-0.3.4-r0.apk 16-Nov-2025 17:55 29M
pomo-0.8.1-r29.apk 17-Jan-2026 22:42 2M
pomo-doc-0.8.1-r29.apk 17-Jan-2026 22:42 2798
pongoos-loader-0_git20210704-r1.apk 25-Oct-2024 19:02 2429
pop-cursor-theme-3.5.1-r0.apk 25-Mar-2025 07:55 13M
pop-icon-theme-3.5.1-r0.apk 25-Mar-2025 07:55 1M
popeye-0.22.1-r10.apk 17-Jan-2026 22:42 29M
porla-0.41.0-r2.apk 24-Feb-2025 17:12 4M
porla-doc-0.41.0-r2.apk 24-Feb-2025 17:12 2246
porla-openrc-0.41.0-r2.apk 24-Feb-2025 17:12 2750
portsmf-239-r2.apk 13-Oct-2025 20:02 56K
portsmf-dev-239-r2.apk 13-Oct-2025 20:02 20K
postgresql-hll-2.18-r0.apk 25-Oct-2024 19:02 26K
postgresql-hll-bitcode-2.18-r0.apk 25-Oct-2024 19:02 56K
postgresql-pg_later-0.0.14-r1.apk 25-Oct-2024 19:02 610K
postgresql-pg_variables-1.2.5_git20230922-r1.apk 03-Nov-2025 16:16 23K
postgresql-pg_variables-bitcode-1.2.5_git202309..> 03-Nov-2025 16:16 45K
postgresql-pgmq-1.1.1-r1.apk 25-Oct-2024 19:02 260K
postgresql-pgq-3.5.1-r0.apk 28-Jan-2026 19:08 280K
postgresql-pgq-bitcode-3.5.1-r0.apk 28-Jan-2026 19:08 52K
postgresql16-wal2json-2.6-r0.apk 25-Oct-2024 19:02 70K
pounce-3.1-r4.apk 12-Sep-2025 22:22 28K
pounce-doc-3.1-r4.apk 12-Sep-2025 22:22 8747
pounce-openrc-3.1-r4.apk 12-Sep-2025 22:22 2813
powder-toy-97.0.352-r1.apk 25-Oct-2024 19:02 816K
powerctl-1.1-r6.apk 18-Apr-2025 16:34 90K
powerctl-doc-1.1-r6.apk 18-Apr-2025 16:34 3282
powerstat-0.04.01-r0.apk 25-Oct-2024 19:02 20K
powerstat-bash-completion-0.04.01-r0.apk 25-Oct-2024 19:02 2349
powerstat-doc-0.04.01-r0.apk 25-Oct-2024 19:02 4364
pptpclient-1.10.0-r6.apk 30-Jun-2025 08:08 32K
pptpclient-doc-1.10.0-r6.apk 30-Jun-2025 08:08 7383
pqiv-2.12-r1.apk 25-Oct-2024 19:02 67K
pqiv-doc-2.12-r1.apk 25-Oct-2024 19:02 12K
predict-2.3.1-r0.apk 24-Nov-2024 10:45 100K
predict-doc-2.3.1-r0.apk 24-Nov-2024 10:45 16K
predoc-0.2.3-r0.apk 24-Jan-2026 13:59 352K
predoc-doc-0.2.3-r0.apk 24-Jan-2026 13:59 11K
primecount-7.20-r0.apk 25-Nov-2025 19:08 29K
primecount-dev-7.20-r0.apk 25-Nov-2025 19:08 2M
primecount-doc-7.20-r0.apk 25-Nov-2025 19:08 4315
primecount-libs-7.20-r0.apk 25-Nov-2025 19:08 172K
primesieve-12.10-r0.apk 25-Nov-2025 19:08 44K
primesieve-dev-12.10-r0.apk 25-Nov-2025 19:08 1M
primesieve-doc-12.10-r0.apk 25-Nov-2025 19:08 4162
primesieve-libs-12.10-r0.apk 25-Nov-2025 19:08 126K
prjtrellis-1.4-r2.apk 25-Oct-2024 19:02 1M
prjtrellis-db-0_git20230929-r0.apk 25-Oct-2024 19:02 3381
prjtrellis-db-ecp5-0_git20230929-r0.apk 25-Oct-2024 19:02 2M
prjtrellis-db-machxo-0_git20230929-r0.apk 25-Oct-2024 19:02 39K
prjtrellis-db-machxo2-0_git20230929-r0.apk 25-Oct-2024 19:02 1013K
prjtrellis-db-machxo3-0_git20230929-r0.apk 25-Oct-2024 19:02 1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk 25-Oct-2024 19:02 748K
projectm-3.1.12-r2.apk 25-Oct-2024 19:02 433K
projectm-dev-3.1.12-r2.apk 25-Oct-2024 19:02 606K
projectm-presets-3.1.12-r2.apk 25-Oct-2024 19:02 4M
projectm-pulseaudio-3.1.12-r2.apk 25-Oct-2024 19:02 402K
projectm-pulseaudio-doc-3.1.12-r2.apk 25-Oct-2024 19:02 2051
projectm-sdl-3.1.12-r2.apk 25-Oct-2024 19:02 316K
projectsandcastle-loader-0_git20200307-r1.apk 25-Oct-2024 19:02 5076
prometheus-ceph-exporter-4.2.5-r10.apk 17-Jan-2026 22:42 4M
prometheus-ceph-exporter-openrc-4.2.5-r10.apk 17-Jan-2026 22:42 1943
prometheus-fastly-exporter-10.2.0-r1.apk 17-Jan-2026 22:42 5M
prometheus-opnsense-exporter-0.0.11-r3.apk 17-Jan-2026 22:42 5M
prometheus-opnsense-exporter-openrc-0.0.11-r3.apk 17-Jan-2026 22:42 2143
prometheus-podman-exporter-1.18.1-r4.apk 17-Jan-2026 22:42 15M
prometheus-rethinkdb-exporter-1.0.1-r34.apk 17-Jan-2026 22:42 4M
prometheus-rethinkdb-exporter-openrc-1.0.1-r34.apk 17-Jan-2026 22:42 1710
prometheus-smartctl-exporter-0.14.0-r6.apk 17-Jan-2026 22:42 5M
prometheus-smartctl-exporter-openrc-0.14.0-r6.apk 17-Jan-2026 22:42 1939
prometheus-smtp2go-exporter-0.1.1-r5.apk 17-Jan-2026 22:42 4M
prometheus-smtp2go-exporter-openrc-0.1.1-r5.apk 17-Jan-2026 22:42 2022
prometheus-unbound-exporter-0.4.6-r5.apk 14-May-2025 00:10 4M
prometheus-unbound-exporter-openrc-0.4.6-r5.apk 14-May-2025 00:10 2008
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2881
prosody-mod-auth_pam-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 1851
prosody-mod-auth_sql-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2704
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 19:02 1806
prosody-mod-bookmarks-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 3183
prosody-mod-broadcast-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 1894
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 19:02 2050
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 7385
prosody-mod-conversejs-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 3346
prosody-mod-host_guard-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2900
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 19:02 2960
prosody-mod-ipcheck-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2002
prosody-mod-log_auth-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 1807
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2234
prosody-mod-mam-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 6023
prosody-mod-mam_muc-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 5687
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 19:02 7025
prosody-mod-pastebin-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 3806
prosody-mod-register_json-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 104K
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 19:02 2773
prosody-mod-reload_modules-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2047
prosody-mod-require_otr-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 1751
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 19:02 2063
prosody-mod-saslname-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 1647
prosody-mod-server_status-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2841
prosody-mod-smacks-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 8786
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2084
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2072
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2726
prosody-mod-webpresence-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 2699
prosody-modules-0.11_hg20201208-r0.apk 25-Oct-2024 19:02 1489
protoc-gen-bq-schema-3.1.0-r1.apk 17-Jan-2026 22:42 2M
protoc-gen-doc-1.5.1-r1.apk 17-Jan-2026 22:42 4M
protoc-gen-doc-doc-1.5.1-r1.apk 17-Jan-2026 22:42 2326
protoc-gen-go-1.36.11-r1.apk 17-Jan-2026 22:42 2M
protoc-gen-go-grpc-1.77.0-r1.apk 17-Jan-2026 22:42 2M
protoc-gen-gorm-1.1.5-r1.apk 17-Jan-2026 22:42 3M
protoc-gen-grpc-gateway-2.27.5-r0.apk 28-Jan-2026 19:08 4M
protoc-gen-js-4.0.1-r0.apk 20-Nov-2025 10:14 2M
protoc-gen-openapi-0.4.0-r0.apk 27-Jan-2026 17:42 5M
protoc-gen-openapiv2-2.27.5-r0.apk 28-Jan-2026 19:08 4M
protoconf-0.1.7-r19.apk 17-Jan-2026 22:42 8M
proverif-2.05-r1.apk 11-Jan-2026 22:38 2M
proverif-emacs-2.05-r1.apk 11-Jan-2026 22:38 2944
proverif-examples-2.05-r1.apk 11-Jan-2026 22:38 168K
proverif-interact-2.05-r1.apk 11-Jan-2026 22:38 2M
proverif-utils-2.05-r1.apk 11-Jan-2026 22:38 458K
prowlarr-2.3.0.5236-r0.apk 13-Dec-2025 17:16 19M
prowlarr-openrc-2.3.0.5236-r0.apk 13-Dec-2025 17:16 2082
psftools-1.1.2-r0.apk 25-Oct-2024 19:02 190K
psftools-dev-1.1.2-r0.apk 25-Oct-2024 19:02 78K
psftools-doc-1.1.2-r0.apk 25-Oct-2024 19:02 60K
psi-notify-1.3.1-r0.apk 25-Oct-2024 19:02 11K
psi-plus-1.5.2096-r0.apk 30-Sep-2025 12:51 9M
psi-plus-plugins-1.5.2096-r0.apk 30-Sep-2025 12:51 2M
pspp-2.0.1-r1.apk 06-Oct-2025 11:28 10M
pspp-dbg-2.0.1-r1.apk 06-Oct-2025 11:28 4M
pspp-doc-2.0.1-r1.apk 06-Oct-2025 11:28 9211
psst-0_git20240526-r1.apk 25-Oct-2024 19:02 8M
ptcpdump-0.37.0-r2.apk 17-Jan-2026 22:42 12M
ptouch-print-1.7-r0.apk 13-Sep-2025 16:23 28K
ptouch-print-doc-1.7-r0.apk 13-Sep-2025 16:23 3205
ptpd-2.3.1-r1.apk 25-Oct-2024 19:02 172K
ptpd-doc-2.3.1-r1.apk 25-Oct-2024 19:02 20K
ptpd-openrc-2.3.1-r1.apk 25-Oct-2024 19:02 2447
ptylie-0.2-r2.apk 14-May-2025 00:10 11K
ptylie-doc-0.2-r2.apk 14-May-2025 00:10 3235
pug-0.6.5-r1.apk 17-Jan-2026 22:42 4M
pully-1.0.0-r0.apk 25-Oct-2024 19:02 2587
pully-openrc-1.0.0-r0.apk 25-Oct-2024 19:02 1762
pulsar-client-cpp-3.7.1-r0.apk 12-Jun-2025 13:59 1M
pulsar-client-cpp-dev-3.7.1-r0.apk 12-Jun-2025 13:59 64K
pulseview-0.4.2-r8.apk 25-Oct-2024 19:02 942K
pulseview-doc-0.4.2-r8.apk 25-Oct-2024 19:02 3748
pulumi-watch-0.1.5-r2.apk 25-Oct-2024 19:02 815K
punch-0.1.1-r0.apk 09-Dec-2025 23:50 30K
punch-pyc-0.1.1-r0.apk 09-Dec-2025 23:50 31K
pure-1.23.0-r0.apk 20-Oct-2025 13:50 18K
pure-data-0.55.2-r0.apk 29-Jun-2025 17:18 2M
pure-data-dev-0.55.2-r0.apk 29-Jun-2025 17:18 53K
pure-data-doc-0.55.2-r0.apk 29-Jun-2025 17:18 5M
pure-data-libs-0.55.2-r0.apk 29-Jun-2025 17:18 642K
pure-doc-1.23.0-r0.apk 20-Oct-2025 13:50 8105
purple-facebook-0.9.6-r0.apk 25-Oct-2024 19:02 78K
purple-hangouts-0_git20200422-r0.apk 25-Oct-2024 19:02 227K
pw-volume-0.5.0-r1.apk 25-Oct-2024 19:02 322K
pwauth-2.3.11-r2.apk 25-Oct-2024 19:02 3857
pwauth-doc-2.3.11-r2.apk 25-Oct-2024 19:02 6968
pwndbg-2025.10.20-r0.apk 31-Dec-2025 14:52 654K
pwndbg-doc-2025.10.20-r0.apk 31-Dec-2025 14:52 3889
pwndbg-pyc-2025.10.20-r0.apk 31-Dec-2025 14:52 1M
pwntools-4.15.0-r0.apk 31-Dec-2025 14:52 3M
pwntools-doc-4.15.0-r0.apk 31-Dec-2025 14:52 38K
pwntools-pyc-4.15.0-r0.apk 31-Dec-2025 14:52 2M
pwru-1.0.7-r11.apk 17-Jan-2026 22:42 3M
pwvucontrol-0.5.0-r0.apk 20-Oct-2025 21:45 438K
pwvucontrol-dbg-0.5.0-r0.apk 20-Oct-2025 21:45 2M
pwvucontrol-lang-0.5.0-r0.apk 20-Oct-2025 21:45 11K
pxalarm-3.0.0-r0.apk 25-Oct-2024 19:02 2947
pxmenu-1.0.0-r1.apk 25-Oct-2024 19:02 2948
py-spy-0.3.14-r3.apk 25-Oct-2024 19:02 1M
py-spy-bash-completion-0.3.14-r3.apk 25-Oct-2024 19:02 2408
py-spy-doc-0.3.14-r3.apk 25-Oct-2024 19:02 2331
py-spy-fish-completion-0.3.14-r3.apk 25-Oct-2024 19:02 2689
py-spy-zsh-completion-0.3.14-r3.apk 25-Oct-2024 19:02 3150
py3-actdiag-3.0.0-r5.apk 25-Oct-2024 19:02 17K
py3-actdiag-pyc-3.0.0-r5.apk 25-Oct-2024 19:02 21K
py3-aesedb-0.1.8-r0.apk 03-Nov-2025 08:48 34K
py3-aesedb-examples-0.1.8-r0.apk 03-Nov-2025 08:48 3506
py3-aesedb-pyc-0.1.8-r0.apk 03-Nov-2025 08:48 75K
py3-agithub-2.2.2-r7.apk 21-Mar-2025 16:21 19K
py3-agithub-pyc-2.2.2-r7.apk 21-Mar-2025 16:21 21K
py3-aiodocker-0.21.0-r1.apk 25-Oct-2024 19:02 29K
py3-aiodocker-pyc-0.21.0-r1.apk 25-Oct-2024 19:02 60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk 25-Oct-2024 19:02 446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk 25-Oct-2024 19:02 51K
py3-aiohttp-jinja2-1.6-r2.apk 25-Oct-2024 19:02 12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk 25-Oct-2024 19:02 9451
py3-aiohttp-remotes-1.3.0-r0.apk 04-Nov-2024 12:28 10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk 04-Nov-2024 12:28 19K
py3-aiohttp-session-2.12.1-r0.apk 25-Oct-2024 19:02 10K
py3-aiohttp-session-pyc-2.12.1-r0.apk 25-Oct-2024 19:02 15K
py3-aioopenssl-0.6.0-r4.apk 25-Oct-2024 19:02 21K
py3-aioopenssl-pyc-0.6.0-r4.apk 25-Oct-2024 19:02 19K
py3-aiosasl-0.5.0-r4.apk 25-Oct-2024 19:02 30K
py3-aiosasl-doc-0.5.0-r4.apk 25-Oct-2024 19:02 16K
py3-aiosasl-pyc-0.5.0-r4.apk 25-Oct-2024 19:02 24K
py3-aiosmb-0.4.14-r0.apk 03-Nov-2025 08:48 585K
py3-aiosmb-examples-0.4.14-r0.apk 03-Nov-2025 08:48 38K
py3-aiosmb-pyc-0.4.14-r0.apk 03-Nov-2025 08:48 1M
py3-aiowinreg-0.0.13-r0.apk 03-Nov-2025 08:48 22K
py3-aiowinreg-pyc-0.0.13-r0.apk 03-Nov-2025 08:48 44K
py3-aioxmpp-0.13.3-r3.apk 25-Oct-2024 19:02 388K
py3-aioxmpp-doc-0.13.3-r3.apk 25-Oct-2024 19:02 18K
py3-aioxmpp-pyc-0.13.3-r3.apk 25-Oct-2024 19:02 673K
py3-allfiles-1.0-r8.apk 25-Oct-2024 19:02 3651
py3-allfiles-pyc-1.0-r8.apk 25-Oct-2024 19:02 3345
py3-altgraph-0.17.4-r1.apk 25-Oct-2024 19:02 21K
py3-altgraph-pyc-0.17.4-r1.apk 25-Oct-2024 19:02 29K
py3-ansible-pylibssh-1.2.2-r0.apk 18-Jul-2025 07:51 243K
py3-anyascii-0.3.2-r1.apk 25-Oct-2024 19:02 275K
py3-anyascii-pyc-0.3.2-r1.apk 25-Oct-2024 19:02 3409
py3-apicula-0.11.1-r1.apk 25-Oct-2024 19:02 8M
py3-apicula-pyc-0.11.1-r1.apk 25-Oct-2024 19:02 179K
py3-apio-0.9.5-r0.apk 25-Oct-2024 19:02 72K
py3-apio-pyc-0.9.5-r0.apk 25-Oct-2024 19:02 77K
py3-apsw-3.51.2.0-r0.apk 23-Jan-2026 07:59 875K
py3-apsw-pyc-3.51.2.0-r0.apk 23-Jan-2026 07:59 586K
py3-apt-3.1.0-r0.apk 21-Dec-2025 03:05 169K
py3-apt-lang-3.1.0-r0.apk 21-Dec-2025 03:05 80K
py3-apt-pyc-3.1.0-r0.apk 21-Dec-2025 03:05 119K
py3-arcus-5.3.0-r5.apk 12-Jun-2025 13:59 84K
py3-asif-0.3.2-r3.apk 25-Oct-2024 19:02 13K
py3-asif-pyc-0.3.2-r3.apk 25-Oct-2024 19:02 26K
py3-ask-0.0.8-r8.apk 25-Oct-2024 19:02 5117
py3-ask-pyc-0.0.8-r8.apk 25-Oct-2024 19:02 4582
py3-astral-3.2-r3.apk 25-Oct-2024 19:02 37K
py3-astral-pyc-3.2-r3.apk 25-Oct-2024 19:02 59K
py3-asyauth-0.0.23-r0.apk 03-Nov-2025 08:48 84K
py3-asyauth-pyc-0.0.23-r0.apk 03-Nov-2025 08:48 186K
py3-asysocks-0.2.18-r0.apk 03-Nov-2025 08:48 88K
py3-asysocks-examples-0.2.18-r0.apk 03-Nov-2025 08:48 36K
py3-asysocks-pyc-0.2.18-r0.apk 03-Nov-2025 08:48 320K
py3-avro-1.11.3-r1.apk 25-Oct-2024 19:02 98K
py3-avro-pyc-1.11.3-r1.apk 25-Oct-2024 19:02 191K
py3-b2sdk-2.10.2-r0.apk 16-Dec-2025 18:41 227K
py3-b2sdk-pyc-2.10.2-r0.apk 16-Dec-2025 18:41 429K
py3-banal-1.0.6-r4.apk 25-Oct-2024 19:02 7039
py3-banal-pyc-1.0.6-r4.apk 25-Oct-2024 19:02 7383
py3-barcodenumber-0.2.1-r10.apk 25-Oct-2024 19:02 16K
py3-barcodenumber-pyc-0.2.1-r10.apk 25-Oct-2024 19:02 4363
py3-beartype-0.22.9-r0.apk 15-Dec-2025 18:33 1M
py3-beartype-pyc-0.22.9-r0.apk 15-Dec-2025 18:33 744K
py3-bencode-4.0.0-r1.apk 25-Oct-2024 19:02 17K
py3-bencode-pyc-4.0.0-r1.apk 25-Oct-2024 19:02 10K
py3-bibtexparser-1.4.3-r0.apk 19-Jan-2025 14:15 40K
py3-bibtexparser-pyc-1.4.3-r0.apk 19-Jan-2025 14:15 49K
py3-bidict-0.23.1-r1.apk 25-Oct-2024 19:02 28K
py3-bidict-pyc-0.23.1-r1.apk 25-Oct-2024 19:02 29K
py3-bite-parser-0.2.5-r0.apk 28-Oct-2024 21:51 14K
py3-bite-parser-pyc-0.2.5-r0.apk 28-Oct-2024 21:51 23K
py3-bitstruct-8.19.0-r1.apk 25-Oct-2024 19:02 34K
py3-bitstruct-pyc-8.19.0-r1.apk 25-Oct-2024 19:02 13K
py3-bleak-0.22.3-r0.apk 25-Oct-2024 19:02 370K
py3-blockchain-1.4.4-r7.apk 25-Oct-2024 19:02 11K
py3-blockchain-pyc-1.4.4-r7.apk 25-Oct-2024 19:02 18K
py3-blockdiag-3.0.0-r6.apk 10-Jan-2025 17:03 68K
py3-blockdiag-pyc-3.0.0-r6.apk 10-Jan-2025 17:03 149K
py3-blockdiag-tests-3.0.0-r6.apk 10-Jan-2025 17:03 3M
py3-bookkeeper-4.17.2-r0.apk 13-Jul-2025 21:44 43K
py3-bookkeeper-pyc-4.17.2-r0.apk 13-Jul-2025 21:44 67K
py3-bottle-api-0.0.4-r7.apk 25-Oct-2024 19:02 4992
py3-bottle-api-pyc-0.0.4-r7.apk 25-Oct-2024 19:02 5340
py3-bottle-pgsql-0.2-r5.apk 25-Oct-2024 19:02 4370
py3-bottle-redis-0.2.3-r6.apk 25-Oct-2024 19:02 3384
py3-bottle-redis-pyc-0.2.3-r6.apk 25-Oct-2024 19:02 3204
py3-bottle-renderer-0.1.1-r9.apk 25-Oct-2024 19:02 4083
py3-bottle-renderer-pyc-0.1.1-r9.apk 25-Oct-2024 19:02 3830
py3-bottle-request-0.2.0-r9.apk 25-Oct-2024 19:02 3288
py3-bottle-request-pyc-0.2.0-r9.apk 25-Oct-2024 19:02 2661
py3-bottle-rest-0.6.0-r1.apk 25-Oct-2024 19:02 6255
py3-bottle-rest-pyc-0.6.0-r1.apk 25-Oct-2024 19:02 5300
py3-bottle-session-1.0-r6.apk 25-Oct-2024 19:02 10K
py3-bottle-session-pyc-1.0-r6.apk 25-Oct-2024 19:02 7984
py3-bottle-sqlalchemy-0.4.3-r8.apk 25-Oct-2024 19:02 4967
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk 25-Oct-2024 19:02 5766
py3-bottle-sqlite-0.2.0-r7.apk 25-Oct-2024 19:02 4811
py3-bottle-sqlite-pyc-0.2.0-r7.apk 25-Oct-2024 19:02 5364
py3-bottle-websocket-0.2.9-r8.apk 25-Oct-2024 19:02 4755
py3-bottle-websocket-pyc-0.2.9-r8.apk 25-Oct-2024 19:02 3209
py3-bottle-werkzeug-0.1.1-r9.apk 25-Oct-2024 19:02 4195
py3-bottle-werkzeug-pyc-0.1.1-r9.apk 25-Oct-2024 19:02 4355
py3-bson-0.5.10-r6.apk 25-Oct-2024 19:02 12K
py3-bson-pyc-0.5.10-r6.apk 25-Oct-2024 19:02 19K
py3-businesstime-0.3.0-r9.apk 25-Oct-2024 19:02 11K
py3-businesstime-pyc-0.3.0-r9.apk 25-Oct-2024 19:02 16K
py3-c3d-0.6.0-r1.apk 14-Jan-2026 18:57 32K
py3-c3d-pyc-0.6.0-r1.apk 14-Jan-2026 18:57 54K
py3-caldav-2.2.3-r0.apk 07-Dec-2025 19:14 113K
py3-caldav-pyc-2.2.3-r0.apk 07-Dec-2025 19:14 154K
py3-cassandra-driver-3.29.2-r0.apk 25-Oct-2024 19:02 286K
py3-cassandra-driver-pyc-3.29.2-r0.apk 25-Oct-2024 19:02 560K
py3-catkin-pkg-0.5.2-r4.apk 25-Oct-2024 19:02 57K
py3-catkin-pkg-pyc-0.5.2-r4.apk 25-Oct-2024 19:02 103K
py3-cchardet-2.1.7-r5.apk 25-Oct-2024 19:02 122K
py3-cchardet-pyc-2.1.7-r5.apk 25-Oct-2024 19:02 3124
py3-cdio-2.1.1-r6.apk 20-Jan-2025 21:15 98K
py3-cdio-pyc-2.1.1-r6.apk 20-Jan-2025 21:15 43K
py3-certauth-1.3.0-r1.apk 25-Oct-2024 19:02 8919
py3-certauth-pyc-1.3.0-r1.apk 25-Oct-2024 19:02 9308
py3-chameleon-4.6.0-r0.apk 06-Jul-2025 09:16 97K
py3-chameleon-pyc-4.6.0-r0.apk 06-Jul-2025 09:16 131K
py3-ciso8601-2.3.3-r0.apk 11-Nov-2025 03:03 16K
py3-class-doc-1.25-r1.apk 25-Oct-2024 19:02 6203
py3-class-doc-pyc-1.25-r1.apk 25-Oct-2024 19:02 8918
py3-click-completion-0.5.2-r1.apk 25-Oct-2024 19:02 11K
py3-click-completion-pyc-0.5.2-r1.apk 25-Oct-2024 19:02 14K
py3-click-default-group-1.2.4-r1.apk 25-Oct-2024 19:02 5271
py3-click-default-group-pyc-1.2.4-r1.apk 25-Oct-2024 19:02 4637
py3-click-threading-0.5.0-r5.apk 25-Oct-2024 19:02 6499
py3-click-threading-pyc-0.5.0-r5.apk 25-Oct-2024 19:02 8041
py3-clickclick-20.10.2-r4.apk 25-Oct-2024 19:02 8142
py3-clickclick-pyc-20.10.2-r4.apk 25-Oct-2024 19:02 10K
py3-cmd2-2.4.3-r2.apk 25-Oct-2024 19:02 139K
py3-cmd2-pyc-2.4.3-r2.apk 25-Oct-2024 19:02 223K
py3-cobs-1.2.0-r4.apk 25-Oct-2024 19:02 19K
py3-cobs-pyc-1.2.0-r4.apk 25-Oct-2024 19:02 12K
py3-colander-2.0-r2.apk 25-Oct-2024 19:02 62K
py3-colander-pyc-2.0-r2.apk 25-Oct-2024 19:02 42K
py3-colorthief-0.2.1-r1.apk 25-Oct-2024 19:02 7488
py3-colorthief-pyc-0.2.1-r1.apk 25-Oct-2024 19:02 10K
py3-columnize-0.3.11-r4.apk 25-Oct-2024 19:02 8731
py3-columnize-pyc-0.3.11-r4.apk 25-Oct-2024 19:02 7661
py3-compdb-0.2.0-r8.apk 25-Oct-2024 19:02 23K
py3-compdb-doc-0.2.0-r8.apk 25-Oct-2024 19:02 3113
py3-compdb-pyc-0.2.0-r8.apk 25-Oct-2024 19:02 40K
py3-confluent-kafka-2.9.0-r0.apk 15-May-2025 09:51 183K
py3-confluent-kafka-pyc-2.9.0-r0.apk 15-May-2025 09:51 227K
py3-confusable-homoglyphs-3.3.1-r0.apk 26-Sep-2025 12:58 137K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk 26-Sep-2025 12:58 9589
py3-cookiecutter-2.6.0-r1.apk 25-Oct-2024 19:02 35K
py3-cookiecutter-doc-2.6.0-r1.apk 25-Oct-2024 19:02 3816
py3-cookiecutter-pyc-2.6.0-r1.apk 25-Oct-2024 19:02 47K
py3-coreapi-2.3.3-r9.apk 25-Oct-2024 19:02 22K
py3-coreapi-pyc-2.3.3-r9.apk 25-Oct-2024 19:02 43K
py3-crc16-0.1.1-r10.apk 25-Oct-2024 19:02 12K
py3-crc16-pyc-0.1.1-r10.apk 25-Oct-2024 19:02 4819
py3-createrepo_c-1.1.4-r1.apk 30-Dec-2025 20:57 41K
py3-createrepo_c-pyc-1.1.4-r1.apk 30-Dec-2025 20:57 15K
py3-criu-3.19-r3.apk 12-Jun-2025 13:59 49K
py3-criu-pyc-3.19-r3.apk 12-Jun-2025 13:59 72K
py3-croniter-6.0.0-r0.apk 25-Aug-2025 09:05 26K
py3-croniter-pyc-6.0.0-r0.apk 25-Aug-2025 09:05 26K
py3-cryptg-0.5.0-r0.apk 10-May-2025 03:12 178K
py3-cryptg-pyc-0.5.0-r0.apk 10-May-2025 03:12 1954
py3-cssutils-2.11.1-r1.apk 25-Oct-2024 19:02 155K
py3-cssutils-pyc-2.11.1-r1.apk 25-Oct-2024 19:02 279K
py3-cstruct-6.1-r0.apk 21-Aug-2025 23:06 23K
py3-cstruct-pyc-6.1-r0.apk 21-Aug-2025 23:06 38K
py3-cucumber-tag-expressions-6.1.1-r0.apk 01-Dec-2024 20:13 8877
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk 01-Dec-2024 20:13 10K
py3-cvxpy-1.2.1-r5.apk 25-Oct-2024 19:02 670K
py3-cvxpy-pyc-1.2.1-r5.apk 25-Oct-2024 19:02 936K
py3-cython-test-exception-raiser-25.11.0-r0.apk 11-Nov-2025 19:23 18K
py3-cython-test-exception-raiser-pyc-25.11.0-r0..> 11-Nov-2025 19:23 1880
py3-dash-2.18.2-r0.apk 13-Apr-2025 21:31 7M
py3-dash-bootstrap-components-1.6.0-r0.apk 13-Apr-2025 21:31 16K
py3-dataclasses-json-0.6.7-r0.apk 25-Oct-2024 19:02 27K
py3-dataclasses-json-pyc-0.6.7-r0.apk 25-Oct-2024 19:02 36K
py3-dataclasses-serialization-1.3.1-r3.apk 25-Oct-2024 19:02 11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk 25-Oct-2024 19:02 14K
py3-dateparser-1.2.2-r0.apk 06-Jul-2025 19:46 216K
py3-dateparser-pyc-1.2.2-r0.apk 06-Jul-2025 19:46 335K
py3-daterangestr-0.0.3-r8.apk 25-Oct-2024 19:02 4457
py3-daterangestr-pyc-0.0.3-r8.apk 25-Oct-2024 19:02 4325
py3-dbus-fast-3.1.2-r0.apk 23-Nov-2025 21:15 793K
py3-dbus-fast-doc-3.1.2-r0.apk 23-Nov-2025 21:15 6393
py3-dbus-fast-pyc-3.1.2-r0.apk 23-Nov-2025 21:15 130K
py3-deluge-client-1.10.2-r0.apk 25-Oct-2024 19:02 13K
py3-deluge-client-doc-1.10.2-r0.apk 25-Oct-2024 19:02 2306
py3-deluge-client-pyc-1.10.2-r0.apk 25-Oct-2024 19:02 20K
py3-dexml-0.5.1-r9.apk 25-Oct-2024 19:02 22K
py3-dexml-pyc-0.5.1-r9.apk 25-Oct-2024 19:02 37K
py3-discid-1.3.0-r0.apk 28-Jul-2025 22:12 13K
py3-discid-pyc-1.3.0-r0.apk 28-Jul-2025 22:12 13K
py3-distorm3-3.5.2-r6.apk 25-Oct-2024 19:02 46K
py3-distorm3-pyc-3.5.2-r6.apk 25-Oct-2024 19:02 49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 19:02 15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 19:02 15K
py3-django-suit-0.2.28-r8.apk 25-Oct-2024 19:02 366K
py3-django-suit-pyc-0.2.28-r8.apk 25-Oct-2024 19:02 32K
py3-django-taggit-serializer-0.1.7-r8.apk 25-Oct-2024 19:02 4123
py3-django-taggit-serializer-pyc-0.1.7-r8.apk 25-Oct-2024 19:02 5074
py3-dnslib-0.9.26-r0.apk 01-Sep-2025 18:15 56K
py3-dnslib-pyc-0.9.26-r0.apk 01-Sep-2025 18:15 109K
py3-dogpile.cache-1.3.3-r1.apk 14-May-2025 18:16 53K
py3-dogpile.cache-pyc-1.3.3-r1.apk 14-May-2025 18:16 90K
py3-doi-0.2-r0.apk 13-Apr-2025 21:31 6314
py3-doi-pyc-0.2-r0.apk 13-Apr-2025 21:31 4855
py3-doit-0.36.0-r5.apk 25-Oct-2024 19:02 77K
py3-doit-pyc-0.36.0-r5.apk 25-Oct-2024 19:02 133K
py3-dominate-2.9.1-r1.apk 25-Oct-2024 19:02 25K
py3-dominate-pyc-2.9.1-r1.apk 25-Oct-2024 19:02 34K
py3-dotty-dict-1.3.1-r4.apk 25-Oct-2024 19:02 8601
py3-dotty-dict-pyc-1.3.1-r4.apk 25-Oct-2024 19:02 8890
py3-downloader-cli-0.3.4-r2.apk 14-May-2025 18:16 11K
py3-downloader-cli-pyc-0.3.4-r2.apk 14-May-2025 18:16 14K
py3-dpath-2.2.0-r0.apk 25-Oct-2024 19:02 17K
py3-dpath-pyc-2.2.0-r0.apk 25-Oct-2024 19:02 18K
py3-drf-yasg-1.21.10-r0.apk 15-Jul-2025 08:26 4M
py3-drf-yasg-pyc-1.21.10-r0.apk 15-Jul-2025 08:26 98K
py3-duckdb-1.4.3-r0.apk 07-Jan-2026 00:06 20M
py3-duckdb-pyc-1.4.3-r0.apk 07-Jan-2026 00:06 160K
py3-dunamai-1.25.0-r0.apk 25-Jul-2025 23:16 27K
py3-dunamai-pyc-1.25.0-r0.apk 25-Jul-2025 23:16 44K
py3-duniterpy-1.1.1-r3.apk 25-Oct-2024 19:02 221K
py3-dweepy-0.3.0-r7.apk 25-Oct-2024 19:02 9305
py3-dweepy-pyc-0.3.0-r7.apk 25-Oct-2024 19:02 6406
py3-ecbdata-0.1.1-r0.apk 13-Apr-2025 23:31 13K
py3-ecos-2.0.11-r4.apk 25-Oct-2024 19:02 27K
py3-ecos-pyc-2.0.11-r4.apk 25-Oct-2024 19:02 3720
py3-edalize-0.5.4-r0.apk 25-Oct-2024 19:02 123K
py3-edalize-pyc-0.5.4-r0.apk 25-Oct-2024 19:02 190K
py3-editdistance-s-1.0.0-r6.apk 25-Oct-2024 19:02 13K
py3-editdistance-s-pyc-1.0.0-r6.apk 25-Oct-2024 19:02 2073
py3-empy-3.3.4-r7.apk 25-Oct-2024 19:02 39K
py3-empy-pyc-3.3.4-r7.apk 25-Oct-2024 19:02 58K
py3-engineio-4.12.3-r0.apk 27-Nov-2025 09:35 49K
py3-engineio-doc-4.12.3-r0.apk 27-Nov-2025 09:35 33K
py3-engineio-pyc-4.12.3-r0.apk 27-Nov-2025 09:35 104K
py3-enlighten-1.14.1-r0.apk 28-Aug-2025 20:04 37K
py3-enlighten-pyc-1.14.1-r0.apk 28-Aug-2025 20:04 46K
py3-enzyme-0.5.2-r0.apk 12-Jul-2025 15:29 23K
py3-enzyme-pyc-0.5.2-r0.apk 12-Jul-2025 15:29 19K
py3-eradicate-2.3.0-r2.apk 25-Oct-2024 19:02 7751
py3-eradicate-doc-2.3.0-r2.apk 25-Oct-2024 19:02 2580
py3-eradicate-pyc-2.3.0-r2.apk 25-Oct-2024 19:02 8548
py3-euclid3-0.01-r8.apk 25-Oct-2024 19:02 14K
py3-euclid3-pyc-0.01-r8.apk 25-Oct-2024 19:02 33K
py3-evalidate-2.1.3-r0.apk 16-Dec-2025 15:10 14K
py3-evalidate-pyc-2.1.3-r0.apk 16-Dec-2025 15:10 12K
py3-eventlet-0.38.1-r0.apk 12-Dec-2024 04:50 332K
py3-eventlet-pyc-0.38.1-r0.apk 12-Dec-2024 04:50 336K
py3-evohome-client-0.3.9-r0.apk 13-Jul-2025 21:43 19K
py3-evohome-client-pyc-0.3.9-r0.apk 13-Jul-2025 21:43 27K
py3-fastavro-1.12.1-r0.apk 14-Oct-2025 01:58 441K
py3-fastavro-pyc-1.12.1-r0.apk 14-Oct-2025 01:58 82K
py3-fastdiff-0.3.0-r5.apk 25-Oct-2024 19:02 38K
py3-fastdiff-pyc-0.3.0-r5.apk 25-Oct-2024 19:02 4303
py3-feedgen-1.0.0-r1.apk 25-Oct-2024 19:02 40K
py3-feedgen-pyc-1.0.0-r1.apk 25-Oct-2024 19:02 62K
py3-feedgenerator-2.1.0-r2.apk 25-Oct-2024 19:02 18K
py3-feedgenerator-pyc-2.1.0-r2.apk 25-Oct-2024 19:02 27K
py3-ffmpeg-0.2.0-r5.apk 14-May-2025 18:16 24K
py3-ffmpeg-pyc-0.2.0-r5.apk 14-May-2025 18:16 33K
py3-firmata-1.0.3-r10.apk 25-Oct-2024 19:02 14K
py3-firmata-pyc-1.0.3-r10.apk 25-Oct-2024 19:02 21K
py3-flake8-blind-except-0.2.1-r4.apk 25-Oct-2024 19:02 5325
py3-flake8-blind-except-pyc-0.2.1-r4.apk 25-Oct-2024 19:02 2652
py3-flake8-builtins-2.5.0-r0.apk 07-Dec-2024 21:51 13K
py3-flake8-builtins-pyc-2.5.0-r0.apk 07-Dec-2024 21:51 8063
py3-flake8-copyright-0.2.4-r3.apk 25-Oct-2024 19:02 18K
py3-flake8-copyright-pyc-0.2.4-r3.apk 25-Oct-2024 19:02 3416
py3-flake8-debugger-4.1.2-r4.apk 25-Oct-2024 19:02 6383
py3-flake8-debugger-pyc-4.1.2-r4.apk 25-Oct-2024 19:02 6110
py3-flake8-import-order-0.18.2-r4.apk 25-Oct-2024 19:02 15K
py3-flake8-import-order-pyc-0.18.2-r4.apk 25-Oct-2024 19:02 17K
py3-flake8-isort-7.0.0-r0.apk 25-Oct-2025 20:13 18K
py3-flake8-isort-pyc-7.0.0-r0.apk 25-Oct-2025 20:13 5490
py3-flake8-polyfill-1.0.2-r5.apk 25-Oct-2024 19:02 7241
py3-flake8-polyfill-pyc-1.0.2-r5.apk 25-Oct-2024 19:02 5831
py3-flake8-print-5.0.0-r5.apk 25-Oct-2024 19:02 6883
py3-flake8-print-pyc-5.0.0-r5.apk 25-Oct-2024 19:02 4518
py3-flake8-snippets-0.2-r8.apk 25-Oct-2024 19:02 5449
py3-flake8-snippets-pyc-0.2-r8.apk 25-Oct-2024 19:02 3763
py3-flake8-todo-0.7-r7.apk 25-Oct-2024 19:02 3680
py3-flake8-todo-pyc-0.7-r7.apk 25-Oct-2024 19:02 2284
py3-flask-accept-0.0.7-r0.apk 12-Jul-2025 15:29 5709
py3-flask-accept-pyc-0.0.7-r0.apk 12-Jul-2025 15:29 3767
py3-flask-admin-1.6.1-r3.apk 25-Oct-2024 19:02 7M
py3-flask-admin-pyc-1.6.1-r3.apk 25-Oct-2024 19:02 358K
py3-flask-autorouter-0.2.2-r3.apk 25-Oct-2024 19:02 5208
py3-flask-autorouter-pyc-0.2.2-r3.apk 25-Oct-2024 19:02 5068
py3-flask-basicauth-0.2.0-r9.apk 25-Oct-2024 19:02 5468
py3-flask-basicauth-pyc-0.2.0-r9.apk 25-Oct-2024 19:02 4177
py3-flask-bcrypt-1.0.1-r5.apk 25-Oct-2024 19:02 7316
py3-flask-bcrypt-pyc-1.0.1-r5.apk 25-Oct-2024 19:02 5941
py3-flask-bootstrap-3.3.7.1-r9.apk 14-May-2025 00:10 449K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk 14-May-2025 00:10 11K
py3-flask-cache-0.13.1-r9.apk 25-Oct-2024 19:02 13K
py3-flask-cache-pyc-0.13.1-r9.apk 25-Oct-2024 19:02 18K
py3-flask-cdn-1.5.3-r8.apk 25-Oct-2024 19:02 4873
py3-flask-cdn-pyc-1.5.3-r8.apk 25-Oct-2024 19:02 4178
py3-flask-components-0.1.1-r9.apk 25-Oct-2024 19:02 4025
py3-flask-components-pyc-0.1.1-r9.apk 25-Oct-2024 19:02 3384
py3-flask-dbconfig-0.3.12-r8.apk 25-Oct-2024 19:02 86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk 25-Oct-2024 19:02 6406
py3-flask-flatpages-0.8.3-r0.apk 06-Dec-2024 23:00 11K
py3-flask-flatpages-pyc-0.8.3-r0.apk 06-Dec-2024 23:00 14K
py3-flask-gzip-0.2-r8.apk 25-Oct-2024 19:02 3259
py3-flask-gzip-pyc-0.2-r8.apk 25-Oct-2024 19:02 2895
py3-flask-headers-1.0-r9.apk 25-Oct-2024 19:02 3283
py3-flask-headers-pyc-1.0-r9.apk 25-Oct-2024 19:02 2514
py3-flask-httpauth-4.8.0-r3.apk 29-Nov-2025 11:38 8027
py3-flask-httpauth-pyc-4.8.0-r3.apk 29-Nov-2025 11:38 11K
py3-flask-json-schema-0.0.5-r4.apk 25-Oct-2024 19:02 4194
py3-flask-json-schema-pyc-0.0.5-r4.apk 25-Oct-2024 19:02 3520
py3-flask-limiter-3.10.1-r0.apk 19-Jan-2025 16:39 27K
py3-flask-limiter-pyc-3.10.1-r0.apk 19-Jan-2025 16:39 47K
py3-flask-loopback-1.4.7-r7.apk 25-Oct-2024 19:02 5706
py3-flask-loopback-pyc-1.4.7-r7.apk 25-Oct-2024 19:02 8112
py3-flask-mailman-1.1.1-r0.apk 25-Oct-2024 19:02 16K
py3-flask-mailman-pyc-1.1.1-r0.apk 25-Oct-2024 19:02 26K
py3-flask-markdown-0.3-r8.apk 25-Oct-2024 19:02 5750
py3-flask-markdown-pyc-0.3-r8.apk 25-Oct-2024 19:02 3950
py3-flask-migrate-4.1.0-r0.apk 13-Jul-2025 21:53 13K
py3-flask-migrate-pyc-4.1.0-r0.apk 13-Jul-2025 21:53 18K
py3-flask-paginate-0.8.1-r6.apk 25-Oct-2024 19:02 8410
py3-flask-paginate-pyc-0.8.1-r6.apk 25-Oct-2024 19:02 11K
py3-flask-peewee-3.0.6-r0.apk 25-Oct-2024 19:02 172K
py3-flask-peewee-pyc-3.0.6-r0.apk 25-Oct-2024 19:02 95K
py3-flask-qrcode-3.2.0-r0.apk 12-Dec-2024 07:50 18K
py3-flask-qrcode-pyc-3.2.0-r0.apk 12-Dec-2024 07:50 6304
py3-flask-restaction-0.25.3-r8.apk 25-Oct-2024 19:02 115K
py3-flask-restaction-pyc-0.25.3-r8.apk 25-Oct-2024 19:02 20K
py3-flask-restless-0.17.0-r9.apk 25-Oct-2024 19:02 40K
py3-flask-restless-pyc-0.17.0-r9.apk 25-Oct-2024 19:02 59K
py3-flask-security-5.6.2-r0.apk 10-Nov-2025 03:16 297K
py3-flask-security-pyc-5.6.2-r0.apk 10-Nov-2025 03:16 227K
py3-flask-socketio-5.5.1-r0.apk 06-Sep-2025 16:20 18K
py3-flask-socketio-doc-5.5.1-r0.apk 06-Sep-2025 16:20 23K
py3-flask-socketio-pyc-5.5.1-r0.apk 06-Sep-2025 16:20 26K
py3-flask-themer-2.0.0-r2.apk 25-Oct-2024 19:02 8085
py3-flask-themer-pyc-2.0.0-r2.apk 25-Oct-2024 19:02 7139
py3-forbiddenfruit-0.1.4-r2.apk 25-Oct-2024 19:02 9180
py3-forbiddenfruit-pyc-0.1.4-r2.apk 25-Oct-2024 19:02 9890
py3-fpdf-1.7.2-r5.apk 25-Oct-2024 19:02 40K
py3-fpdf-pyc-1.7.2-r5.apk 25-Oct-2024 19:02 89K
py3-freetype-py-2.5.1-r0.apk 25-Oct-2024 19:02 161K
py3-furl-2.1.4-r0.apk 13-Jul-2025 21:52 28K
py3-furl-pyc-2.1.4-r0.apk 13-Jul-2025 21:52 32K
py3-gdcm-3.2.2-r3.apk 02-Dec-2025 06:13 668K
py3-geoip-1.3.2-r4.apk 25-Oct-2024 19:02 22K
py3-gevent-websocket-0.10.1-r8.apk 25-Oct-2024 19:02 20K
py3-gevent-websocket-pyc-0.10.1-r8.apk 25-Oct-2024 19:02 30K
py3-git-versioner-7.1-r1.apk 25-Oct-2024 19:02 12K
py3-git-versioner-pyc-7.1-r1.apk 25-Oct-2024 19:02 14K
py3-github3-4.0.1-r1.apk 25-Oct-2024 19:02 128K
py3-github3-pyc-4.0.1-r1.apk 25-Oct-2024 19:02 227K
py3-glob2-0.7-r6.apk 25-Oct-2024 19:02 10K
py3-glob2-pyc-0.7-r6.apk 25-Oct-2024 19:02 13K
py3-gls-1.3.1-r1.apk 25-Oct-2024 19:02 47K
py3-gls-pyc-1.3.1-r1.apk 25-Oct-2024 19:02 84K
py3-google-trans-new-1.1.9-r2.apk 25-Oct-2024 19:02 9450
py3-google-trans-new-pyc-1.1.9-r2.apk 25-Oct-2024 19:02 11K
py3-googletrans-3.0.0-r5.apk 25-Oct-2024 19:02 15K
py3-googletrans-pyc-3.0.0-r5.apk 25-Oct-2024 19:02 17K
py3-grequests-0.7.0-r3.apk 14-May-2025 18:16 6885
py3-grequests-pyc-0.7.0-r3.apk 14-May-2025 18:16 5982
py3-gsm0338-1.1.0-r0.apk 29-Jan-2026 01:28 7032
py3-gsm0338-pyc-1.1.0-r0.apk 29-Jan-2026 01:28 8691
py3-gtkspellcheck-5.0.3-r1.apk 09-Aug-2025 16:28 45K
py3-gtkspellcheck-pyc-5.0.3-r1.apk 09-Aug-2025 16:28 30K
py3-halo-0.0.31-r5.apk 25-Oct-2024 19:02 11K
py3-halo-pyc-0.0.31-r5.apk 25-Oct-2024 19:02 14K
py3-hatch-openzim-0.2.0-r0.apk 25-Oct-2024 19:02 25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk 25-Oct-2024 19:02 24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk 25-Oct-2024 19:02 13K
py3-hatch-openzim-pyc-0.2.0-r0.apk 25-Oct-2024 19:02 13K
py3-helper-2.5.0-r5.apk 25-Oct-2024 19:02 19K
py3-helper-pyc-2.5.0-r5.apk 25-Oct-2024 19:02 28K
py3-hfst-3.16.2-r1.apk 14-Jan-2026 05:56 357K
py3-hg-git-1.1.1-r1.apk 25-Oct-2024 19:02 70K
py3-hg-git-pyc-1.1.1-r1.apk 25-Oct-2024 19:02 107K
py3-highctidh-1.0.2024092800-r0.apk 25-Nov-2024 20:24 314K
py3-highctidh-pyc-1.0.2024092800-r0.apk 25-Nov-2024 20:24 12K
py3-himitsu-0.0.9-r0.apk 25-Aug-2025 10:57 5950
py3-himitsu-pyc-0.0.9-r0.apk 25-Aug-2025 10:57 7725
py3-hishel-0.1.4-r0.apk 15-Oct-2025 12:53 77K
py3-hishel-pyc-0.1.4-r0.apk 15-Oct-2025 12:53 144K
py3-html5-parser-0.4.12-r1.apk 25-Oct-2024 19:02 162K
py3-html5-parser-pyc-0.4.12-r1.apk 25-Oct-2024 19:02 22K
py3-hurry.filesize-0.9-r8.apk 25-Oct-2024 19:02 4722
py3-hurry.filesize-pyc-0.9-r8.apk 25-Oct-2024 19:02 3334
py3-icalendar-searcher-1.0.3-r0.apk 07-Dec-2025 19:14 35K
py3-icalendar-searcher-pyc-1.0.3-r0.apk 07-Dec-2025 19:14 26K
py3-igraph-1.0.0-r0.apk 28-Oct-2025 01:54 409K
py3-igraph-dev-1.0.0-r0.apk 28-Oct-2025 01:54 2665
py3-igraph-pyc-1.0.0-r0.apk 28-Oct-2025 01:54 374K
py3-imageio-2.37.0-r0.apk 11-Jul-2025 22:13 285K
py3-imageio-ffmpeg-0.4.9-r1.apk 25-Oct-2024 19:02 16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk 25-Oct-2024 19:02 20K
py3-imageio-pyc-2.37.0-r0.apk 11-Jul-2025 22:13 504K
py3-imdbpy-2021.4.18-r5.apk 25-Oct-2024 19:02 229K
py3-imdbpy-pyc-2021.4.18-r5.apk 25-Oct-2024 19:02 243K
py3-incoming-0.3.1-r8.apk 25-Oct-2024 19:02 13K
py3-incoming-pyc-0.3.1-r8.apk 25-Oct-2024 19:02 20K
py3-infinity-1.5-r6.apk 25-Oct-2024 19:02 4489
py3-infinity-pyc-1.5-r6.apk 25-Oct-2024 19:02 3829
py3-iniparse-0.5-r7.apk 25-Oct-2024 19:02 19K
py3-iniparse-doc-0.5-r7.apk 25-Oct-2024 19:02 10K
py3-iniparse-pyc-0.5-r7.apk 25-Oct-2024 19:02 25K
py3-intervals-0.9.2-r5.apk 25-Oct-2024 19:02 9673
py3-intervals-pyc-0.9.2-r5.apk 25-Oct-2024 19:02 15K
py3-ioctl-opt-1.3-r0.apk 29-Jan-2025 16:00 12K
py3-ioctl-opt-pyc-1.3-r0.apk 29-Jan-2025 16:00 4761
py3-irc-20.4.1-r1.apk 06-Oct-2025 06:21 40K
py3-irc-pyc-20.4.1-r1.apk 06-Oct-2025 06:21 70K
py3-isbnlib-3.10.14-r0.apk 19-Jan-2025 14:15 43K
py3-isbnlib-pyc-3.10.14-r0.apk 19-Jan-2025 14:15 67K
py3-iso639-lang-2.6.3-r0.apk 04-Dec-2025 22:48 311K
py3-iso639-lang-pyc-2.6.3-r0.apk 04-Dec-2025 22:48 12K
py3-itemadapter-0.10.0-r0.apk 30-Nov-2024 20:42 11K
py3-itemadapter-pyc-0.10.0-r0.apk 30-Nov-2024 20:42 13K
py3-itemloaders-1.3.2-r1.apk 09-Aug-2025 16:28 12K
py3-itemloaders-pyc-1.3.2-r1.apk 09-Aug-2025 16:28 17K
py3-iterable-io-1.0.1-r0.apk 08-Jan-2026 13:53 6140
py3-iterable-io-pyc-1.0.1-r0.apk 08-Jan-2026 13:53 5485
py3-itunespy-1.6-r5.apk 14-May-2025 18:16 9975
py3-itunespy-pyc-1.6-r5.apk 14-May-2025 18:16 15K
py3-janus-1.2.0-r0.apk 13-Dec-2024 04:57 12K
py3-janus-pyc-1.2.0-r0.apk 13-Dec-2024 04:57 13K
py3-jaraco.logging-3.4.0-r0.apk 17-Jun-2025 22:14 5446
py3-jaraco.logging-pyc-3.4.0-r0.apk 17-Jun-2025 22:14 6259
py3-jaraco.path-3.7.2-r0.apk 25-Oct-2024 19:02 7802
py3-jaraco.path-pyc-3.7.2-r0.apk 25-Oct-2024 19:02 9751
py3-jaraco.stream-3.0.4-r0.apk 14-Dec-2024 22:59 6874
py3-jaraco.stream-pyc-3.0.4-r0.apk 14-Dec-2024 22:59 8272
py3-jaraco.vcs-2.4.1-r0.apk 11-Mar-2025 11:19 10K
py3-jaraco.vcs-pyc-2.4.1-r0.apk 11-Mar-2025 11:19 16K
py3-jaraco.versioning-1.1.0-r0.apk 25-Oct-2024 19:02 6051
py3-jaraco.versioning-pyc-1.1.0-r0.apk 25-Oct-2024 19:02 6251
py3-joserfc-1.5.0-r0.apk 04-Dec-2025 22:48 54K
py3-joserfc-pyc-1.5.0-r0.apk 04-Dec-2025 22:48 110K
py3-kazoo-0_git20211202-r4.apk 25-Oct-2024 19:02 125K
py3-kazoo-pyc-0_git20211202-r4.apk 25-Oct-2024 19:02 245K
py3-keepalive-0.5-r5.apk 25-Oct-2024 19:02 9186
py3-keepalive-doc-0.5-r5.apk 25-Oct-2024 19:02 2035
py3-keepalive-pyc-0.5-r5.apk 25-Oct-2024 19:02 13K
py3-kerberos-1.3.1-r5.apk 25-Oct-2024 19:02 17K
py3-kikit-1.7.2-r0.apk 10-May-2025 03:12 239K
py3-kikit-pyc-1.7.2-r0.apk 10-May-2025 03:12 277K
py3-knowit-0.5.11-r0.apk 24-Nov-2025 01:06 32K
py3-knowit-pyc-0.5.11-r0.apk 24-Nov-2025 01:06 62K
py3-landlock-1.0.0_pre4-r2.apk 25-Oct-2024 19:02 8610
py3-landlock-pyc-1.0.0_pre4-r2.apk 25-Oct-2024 19:02 9754
py3-langcodes-3.3.0-r2.apk 25-Oct-2024 19:02 174K
py3-langcodes-pyc-3.3.0-r2.apk 25-Oct-2024 19:02 110K
py3-language-data-1.3.0-r0.apk 01-Dec-2024 20:08 5M
py3-language-data-pyc-1.3.0-r0.apk 01-Dec-2024 20:08 3M
py3-latex2mathml-3.78.1-r1.apk 29-Sep-2025 19:06 72K
py3-latex2mathml-pyc-3.78.1-r1.apk 29-Sep-2025 19:06 35K
py3-lib_users-0.15-r4.apk 25-Oct-2024 19:02 16K
py3-lib_users-pyc-0.15-r4.apk 25-Oct-2024 19:02 9737
py3-libacl-0.7.3-r0.apk 16-Oct-2025 23:45 25K
py3-libguestfs-1.56.1-r0.apk 22-Jul-2025 22:16 178K
py3-libiio-0.25-r2.apk 25-Oct-2024 19:02 13K
py3-liblarch-3.2.0-r6.apk 08-Dec-2024 21:48 30K
py3-liblarch-pyc-3.2.0-r6.apk 08-Dec-2024 21:48 50K
py3-libmdbx-0.10.2-r7.apk 25-Oct-2024 19:02 28K
py3-libmdbx-pyc-0.10.2-r7.apk 25-Oct-2024 19:02 33K
py3-libnacl-2.1.0-r1.apk 25-Oct-2024 19:02 20K
py3-libnacl-pyc-2.1.0-r1.apk 25-Oct-2024 19:02 30K
py3-libpyshell-0.4.1-r1.apk 10-Jun-2025 09:42 12K
py3-libpyshell-pyc-0.4.1-r1.apk 10-Jun-2025 09:42 18K
py3-librtmp-0.3.0-r6.apk 25-Oct-2024 19:02 34K
py3-librtmp-pyc-0.3.0-r6.apk 25-Oct-2024 19:02 25K
py3-limits-3.14.1-r0.apk 25-Dec-2024 19:00 33K
py3-limits-pyc-3.14.1-r0.apk 25-Dec-2024 19:00 71K
py3-linkify-it-py-2.0.3-r1.apk 25-Oct-2024 19:02 21K
py3-linkify-it-py-pyc-2.0.3-r1.apk 25-Oct-2024 19:02 23K
py3-litedram-2024.04-r0.apk 25-Oct-2024 19:02 176K
py3-liteeth-2024.04-r0.apk 25-Oct-2024 19:02 103K
py3-liteiclink-2024.04-r0.apk 25-Oct-2024 19:02 82K
py3-litejesd204b-2024.04-r0.apk 25-Oct-2024 19:02 13K
py3-litepcie-2024.04-r0.apk 25-Oct-2024 19:02 108K
py3-litesata-2024.04-r0.apk 25-Oct-2024 19:02 62K
py3-litescope-2024.04-r0.apk 25-Oct-2024 19:02 16K
py3-litesdcard-2024.04-r0.apk 25-Oct-2024 19:02 17K
py3-litespi-2024.04-r0.apk 25-Oct-2024 19:02 32K
py3-litex-2024.04-r0.apk 25-Oct-2024 19:02 1M
py3-litex-boards-2024.04-r0.apk 25-Oct-2024 19:02 390K
py3-litex-full-2024.04-r0.apk 25-Oct-2024 19:02 1617
py3-litex-hub-modules-2024.04-r0.apk 25-Oct-2024 19:02 1660
py3-litex-hub-modules-pyc-2024.04-r0.apk 25-Oct-2024 19:02 1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 19:02 6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 19:02 2M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 19:02 934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk 25-Oct-2024 19:02 500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk 25-Oct-2024 19:02 10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk 25-Oct-2024 19:02 2M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk 25-Oct-2024 19:02 112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 19:02 208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 19:02 19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 19:02 45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 19:02 221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 19:02 7739
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 19:02 230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 19:02 57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk 25-Oct-2024 19:02 675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 19:02 713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 19:02 2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 19:02 58K
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 19:02 2M
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 19:02 5M
py3-litex-hub-valentyusb-2024.04-r0.apk 25-Oct-2024 19:02 112K
py3-litex-pyc-2024.04-r0.apk 25-Oct-2024 19:02 3M
py3-livestream-2.1.0-r0.apk 25-Nov-2024 22:22 766K
py3-livestream-pyc-2.1.0-r0.apk 25-Nov-2024 22:22 30K
py3-log-symbols-0.0.14-r5.apk 25-Oct-2024 19:02 4306
py3-log-symbols-pyc-0.0.14-r5.apk 25-Oct-2024 19:02 3145
py3-logtop-0.7-r1.apk 09-Aug-2025 16:28 21K
py3-logtop-pyc-0.7-r1.apk 09-Aug-2025 16:28 4176
py3-lsp-black-2.0.0-r1.apk 25-Oct-2024 19:02 7686
py3-lsp-black-pyc-2.0.0-r1.apk 25-Oct-2024 19:02 6524
py3-lsp-mypy-0.7.0-r1.apk 30-Aug-2025 01:39 13K
py3-lsp-mypy-pyc-0.7.0-r1.apk 30-Aug-2025 01:39 13K
py3-lsprotocol-2023.0.1-r1.apk 25-Oct-2024 19:02 69K
py3-lsprotocol-pyc-2023.0.1-r1.apk 25-Oct-2024 19:02 107K
py3-luhn-0.2.0-r9.apk 25-Oct-2024 19:02 4071
py3-luhn-pyc-0.2.0-r9.apk 25-Oct-2024 19:02 2693
py3-lunr-0.6.2-r4.apk 25-Oct-2024 19:02 32K
py3-lunr-pyc-0.6.2-r4.apk 25-Oct-2024 19:02 51K
py3-lxmf-0.8.0-r0.apk 23-Sep-2025 22:32 51K
py3-lxmf-pyc-0.8.0-r0.apk 23-Sep-2025 22:32 109K
py3-ly-0.9.9-r0.apk 12-Jul-2025 21:56 187K
py3-ly-doc-0.9.9-r0.apk 12-Jul-2025 21:56 8258
py3-ly-pyc-0.9.9-r0.apk 12-Jul-2025 21:56 352K
py3-lzo-1.16-r1.apk 25-Oct-2024 19:02 16K
py3-lzo-pyc-1.16-r1.apk 25-Oct-2024 19:02 2014
py3-m2crypto-0.41.0-r2.apk 25-Oct-2024 19:02 190K
py3-m2crypto-pyc-0.41.0-r2.apk 25-Oct-2024 19:02 123K
py3-maidenhead-1.8.0-r0.apk 10-Aug-2025 21:47 8136
py3-maidenhead-doc-1.8.0-r0.apk 10-Aug-2025 21:47 3772
py3-maidenhead-pyc-1.8.0-r0.apk 10-Aug-2025 21:47 8145
py3-mando-0.8.2-r0.apk 18-Dec-2025 11:03 22K
py3-mando-doc-0.8.2-r0.apk 18-Dec-2025 11:03 4272
py3-mando-pyc-0.8.2-r0.apk 18-Dec-2025 11:03 35K
py3-manuel-1.13.0-r1.apk 14-Oct-2025 15:04 39K
py3-manuel-pyc-1.13.0-r1.apk 14-Oct-2025 15:04 26K
py3-mapbox-earcut-1.0.1-r2.apk 25-Oct-2024 19:02 59K
py3-marisa-trie-1.3.1-r0.apk 29-Aug-2025 09:31 133K
py3-markdown2-2.5.0-r0.apk 25-Oct-2024 19:02 47K
py3-markdown2-pyc-2.5.0-r0.apk 25-Oct-2024 19:02 75K
py3-markdownify-1.2.2-r0.apk 27-Nov-2025 16:00 16K
py3-markdownify-pyc-1.2.2-r0.apk 27-Nov-2025 16:00 18K
py3-marshmallow-3.26.1-r0.apk 22-Feb-2025 14:39 48K
py3-marshmallow-enum-1.5.1-r7.apk 25-Oct-2024 19:02 5422
py3-marshmallow-enum-pyc-1.5.1-r7.apk 25-Oct-2024 19:02 4560
py3-marshmallow-pyc-3.26.1-r0.apk 22-Feb-2025 14:39 85K
py3-mbedtls-2.10.1-r3.apk 29-May-2025 12:00 949K
py3-mbedtls-pyc-2.10.1-r3.apk 29-May-2025 12:00 27K
py3-meshtastic-2.7.2-r0.apk 16-Sep-2025 14:05 540K
py3-migen-0.9.2-r2.apk 25-Oct-2024 19:02 143K
py3-migen-pyc-0.9.2-r2.apk 25-Oct-2024 19:02 296K
py3-milc-1.9.1-r0.apk 25-Jan-2025 16:04 26K
py3-milc-pyc-1.9.1-r0.apk 25-Jan-2025 16:04 41K
py3-minidb-2.0.8-r0.apk 13-Nov-2024 20:04 10K
py3-minidb-pyc-2.0.8-r0.apk 13-Nov-2024 20:04 23K
py3-minidump-0.0.24-r1.apk 29-May-2025 12:00 64K
py3-minidump-pyc-0.0.24-r1.apk 29-May-2025 12:00 129K
py3-minikerberos-0.4.9-r0.apk 03-Nov-2025 08:48 123K
py3-minikerberos-examples-0.4.9-r0.apk 03-Nov-2025 08:48 17K
py3-minikerberos-pyc-0.4.9-r0.apk 03-Nov-2025 08:48 287K
py3-minio-7.2.18-r0.apk 10-Nov-2025 03:17 75K
py3-minio-pyc-7.2.18-r0.apk 10-Nov-2025 03:17 155K
py3-mistletoe-1.4.0-r0.apk 21-Mar-2025 16:21 45K
py3-mistletoe-pyc-1.4.0-r0.apk 21-Mar-2025 16:21 93K
py3-mistune1-0.8.4-r6.apk 09-Nov-2025 18:54 14K
py3-mistune1-pyc-0.8.4-r6.apk 09-Nov-2025 18:54 21K
py3-mnemonic-0.21-r0.apk 25-Oct-2024 19:02 95K
py3-mnemonic-doc-0.21-r0.apk 25-Oct-2024 19:02 2458
py3-mnemonic-pyc-0.21-r0.apk 25-Oct-2024 19:02 9922
py3-modbus-tk-1.1.1-r4.apk 25-Oct-2024 19:02 25K
py3-modbus-tk-pyc-1.1.1-r4.apk 25-Oct-2024 19:02 48K
py3-modern_colorthief-0.1.7-r0.apk 16-Jun-2025 17:58 758K
py3-modern_colorthief-pyc-0.1.7-r0.apk 16-Jun-2025 17:58 2666
py3-mopidy-jellyfin-1.0.4-r4.apk 25-Oct-2024 19:02 25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk 25-Oct-2024 19:02 37K
py3-mopidy-local-3.3.0-r0.apk 01-Jan-2025 22:24 28K
py3-mopidy-local-pyc-3.3.0-r0.apk 01-Jan-2025 22:24 34K
py3-mopidy-mpd-3.3.0-r4.apk 25-Oct-2024 19:02 46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk 25-Oct-2024 19:02 74K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk 25-Oct-2024 19:02 24K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk 25-Oct-2024 19:02 39K
py3-mopidy-tidal-0.3.2-r6.apk 25-Oct-2024 19:02 25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk 25-Oct-2024 19:02 36K
py3-more-properties-1.1.1-r3.apk 25-Oct-2024 19:02 7613
py3-more-properties-pyc-1.1.1-r3.apk 25-Oct-2024 19:02 8421
py3-moviepy-1.0.3-r6.apk 25-Oct-2024 19:02 94K
py3-moviepy-pyc-1.0.3-r6.apk 25-Oct-2024 19:02 156K
py3-msldap-0.5.15-r2.apk 17-Oct-2025 16:26 127K
py3-msldap-examples-0.5.15-r2.apk 17-Oct-2025 16:26 18K
py3-msldap-pyc-0.5.15-r2.apk 17-Oct-2025 16:26 330K
py3-mss-10.0.0-r0.apk 14-Nov-2024 13:11 51K
py3-natpmp-1.3.2-r1.apk 25-Oct-2024 19:02 9639
py3-natpmp-pyc-1.3.2-r1.apk 25-Oct-2024 19:02 10K
py3-ncclient-0.6.13-r5.apk 25-Oct-2024 19:02 68K
py3-ncclient-pyc-0.6.13-r5.apk 25-Oct-2024 19:02 107K
py3-netifaces2-0.0.22-r0.apk 25-Oct-2024 19:02 189K
py3-netifaces2-pyc-0.0.22-r0.apk 25-Oct-2024 19:02 9432
py3-netmiko-4.6.0-r0.apk 13-Aug-2025 15:31 192K
py3-netmiko-pyc-4.6.0-r0.apk 13-Aug-2025 15:31 372K
py3-nikola-8.3.3-r0.apk 11-Oct-2025 10:49 4M
py3-nikola-doc-8.3.3-r0.apk 11-Oct-2025 10:49 61K
py3-nikola-pyc-8.3.3-r0.apk 11-Oct-2025 10:49 545K
py3-nmap-0.7.1-r4.apk 25-Oct-2024 19:02 20K
py3-nmap-pyc-0.7.1-r4.apk 25-Oct-2024 19:02 25K
py3-nose-timer-1.0.1-r6.apk 25-Oct-2024 19:02 9597
py3-nose-timer-pyc-1.0.1-r6.apk 25-Oct-2024 19:02 10K
py3-notifymail-1.1-r8.apk 25-Oct-2024 19:02 7758
py3-notifymail-pyc-1.1-r8.apk 25-Oct-2024 19:02 5881
py3-nptyping-2.5.0-r3.apk 25-Oct-2024 19:02 21K
py3-nptyping-pyc-2.5.0-r3.apk 25-Oct-2024 19:02 32K
py3-ntplib-0.4.0-r5.apk 25-Oct-2024 19:02 7574
py3-ntplib-pyc-0.4.0-r5.apk 25-Oct-2024 19:02 8831
py3-numpy-stl-3.2.0-r0.apk 01-Dec-2024 02:04 21K
py3-numpy-stl-pyc-3.2.0-r0.apk 01-Dec-2024 02:04 28K
py3-nwdiag-3.0.0-r3.apk 25-Oct-2024 19:02 5M
py3-nwdiag-pyc-3.0.0-r3.apk 25-Oct-2024 19:02 78K
py3-okonomiyaki-2.0.0-r1.apk 14-Oct-2025 19:03 8M
py3-okonomiyaki-pyc-2.0.0-r1.apk 14-Oct-2025 19:03 243K
py3-openapi-codec-1.3.2-r9.apk 25-Oct-2024 19:02 7831
py3-openapi-codec-pyc-1.3.2-r9.apk 25-Oct-2024 19:02 12K
py3-opendht-3.1.11-r0.apk 29-Jan-2025 16:00 154K
py3-openssh-wrapper-0.5_git20130425-r4.apk 25-Oct-2024 19:02 8444
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk 25-Oct-2024 19:02 10K
py3-openwisp-utils-1.0.4-r4.apk 25-Oct-2024 19:02 498K
py3-openwisp-utils-pyc-1.0.4-r4.apk 25-Oct-2024 19:02 42K
py3-orderedmultidict-1.0.1-r7.apk 25-Oct-2024 19:02 12K
py3-orderedmultidict-pyc-1.0.1-r7.apk 25-Oct-2024 19:02 17K
py3-osmocom-0.0.11-r0.apk 29-Jan-2026 01:28 31K
py3-osmocom-pyc-0.0.11-r0.apk 29-Jan-2026 01:28 48K
py3-osqp-0.6.2-r6.apk 25-Oct-2024 19:02 104K
py3-osqp-dev-0.6.2-r6.apk 25-Oct-2024 19:02 49K
py3-osqp-pyc-0.6.2-r6.apk 25-Oct-2024 19:02 77K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk 25-Oct-2024 19:02 10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk 25-Oct-2024 19:02 8417
py3-ovos-backend-client-1.0.0-r0.apk 25-Oct-2024 19:02 46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk 25-Oct-2024 19:02 91K
py3-ovos-bus-client-1.3.4-r0.apk 25-Apr-2025 10:49 50K
py3-ovos-bus-client-pyc-1.3.4-r0.apk 25-Apr-2025 10:49 89K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk 25-Oct-2024 19:02 103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk 25-Oct-2024 19:02 164K
py3-ovos-config-2.1.1-r0.apk 15-Jul-2025 18:37 47K
py3-ovos-config-pyc-2.1.1-r0.apk 15-Jul-2025 18:37 35K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk 25-Oct-2024 19:02 358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk 25-Oct-2024 19:02 437K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk 15-Jul-2025 20:03 9085
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk 15-Jul-2025 20:03 4876
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk 25-Oct-2024 19:02 550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk 25-Oct-2024 19:02 86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk 25-Oct-2024 19:02 47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk 25-Oct-2024 19:02 104K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk 25-Oct-2024 19:02 8265
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk 25-Oct-2024 19:02 3482
py3-ovos-ocp-news-plugin-0.1.1-r0.apk 15-Jul-2025 20:03 11K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk 15-Jul-2025 20:03 9094
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk 15-Jul-2025 20:03 8365
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk 15-Jul-2025 20:03 3982
py3-ovos-phal-plugin-connectivity-events-0.1.2-..> 15-Jul-2025 20:03 9022
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 15-Jul-2025 20:03 5192
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk 25-Oct-2024 19:02 4634
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk 25-Oct-2024 19:02 4477
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk 21-Nov-2024 13:32 95K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 13:32 11K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk 21-Nov-2024 13:32 12K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk 21-Nov-2024 13:32 9893
py3-ovos-phal-plugin-system-1.3.3-r0.apk 15-Jul-2025 16:49 11K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk 15-Jul-2025 16:49 10K
py3-ovos-plugin-manager-1.0.3-r0.apk 15-Jul-2025 19:34 86K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk 15-Jul-2025 19:34 167K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk 25-Oct-2024 19:02 10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 19:02 6931
py3-ovos-translate-server-plugin-0.0.0-r0.apk 25-Oct-2024 19:02 8534
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk 25-Oct-2024 19:02 4206
py3-ovos-tts-plugin-piper-0.0.1-r0.apk 25-Oct-2024 19:02 12K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk 25-Oct-2024 19:02 11K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk 25-Oct-2024 19:02 9742
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 19:02 5576
py3-ovos-utils-0.8.1-r0.apk 15-Jul-2025 20:03 73K
py3-ovos-utils-pyc-0.8.1-r0.apk 15-Jul-2025 20:03 130K
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk 25-Oct-2024 19:02 4630
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk 25-Oct-2024 19:02 4491
py3-ovos-workshop-7.0.6-r0.apk 15-Jul-2025 19:29 92K
py3-ovos-workshop-pyc-7.0.6-r0.apk 15-Jul-2025 19:29 165K
py3-ovos-ww-plugin-vosk-0.1.7-r0.apk 15-Jul-2025 19:29 11K
py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk 15-Jul-2025 19:29 13K
py3-owslib-0.35.0-r0.apk 02-Nov-2025 19:15 195K
py3-owslib-pyc-0.35.0-r0.apk 02-Nov-2025 19:15 425K
py3-pacparser-1.4.5-r1.apk 25-Oct-2024 19:02 408K
py3-pacparser-pyc-1.4.5-r1.apk 25-Oct-2024 19:02 4134
py3-padacioso-0.2.1-r0.apk 25-Oct-2024 19:02 11K
py3-padacioso-pyc-0.2.1-r0.apk 25-Oct-2024 19:02 12K
py3-pam-2.0.2-r2.apk 25-Oct-2024 19:02 11K
py3-pam-pyc-2.0.2-r2.apk 25-Oct-2024 19:02 13K
py3-pathvalidate-3.3.1-r0.apk 12-Jul-2025 15:21 19K
py3-pathvalidate-pyc-3.3.1-r0.apk 12-Jul-2025 15:21 34K
py3-pbkdf2-1.3-r7.apk 25-Oct-2024 19:02 6446
py3-pbkdf2-pyc-1.3-r7.apk 25-Oct-2024 19:02 7247
py3-pcbnewtransition-0.5.2-r0.apk 12-Jul-2025 15:20 8066
py3-pcbnewtransition-pyc-0.5.2-r0.apk 12-Jul-2025 15:20 10K
py3-pdal-3.5.3-r0.apk 19-Jan-2026 16:04 193K
py3-pdal-pyc-3.5.3-r0.apk 19-Jan-2026 16:04 14K
py3-pdoc-15.0.4-r0.apk 12-Oct-2025 21:20 133K
py3-pdoc-pyc-15.0.4-r0.apk 12-Oct-2025 21:20 169K
py3-pelican-4.9.1-r2.apk 25-Oct-2024 19:02 234K
py3-pelican-pyc-4.9.1-r2.apk 25-Oct-2024 19:02 147K
py3-pep8-naming-0.14.1-r0.apk 25-Oct-2024 19:02 10K
py3-pep8-naming-pyc-0.14.1-r0.apk 25-Oct-2024 19:02 13K
py3-phpserialize-1.3-r8.apk 25-Oct-2024 19:02 9064
py3-phpserialize-pyc-1.3-r8.apk 25-Oct-2024 19:02 11K
py3-phx-class-registry-5.0.0-r0.apk 25-Oct-2024 19:02 13K
py3-phx-class-registry-doc-5.0.0-r0.apk 25-Oct-2024 19:02 2276
py3-phx-class-registry-pyc-5.0.0-r0.apk 25-Oct-2024 19:02 17K
py3-piccata-2.0.3-r1.apk 25-Oct-2024 19:02 20K
py3-piccata-pyc-2.0.3-r1.apk 25-Oct-2024 19:02 34K
py3-pillow_heif-0.18.0-r0.apk 25-Oct-2024 19:02 43K
py3-pillow_heif-pyc-0.18.0-r0.apk 25-Oct-2024 19:02 36K
py3-pip-system-certs-4.0-r1.apk 25-Oct-2024 19:02 7154
py3-pip-system-certs-pyc-4.0-r1.apk 25-Oct-2024 19:02 4766
py3-piper-phonemize-2023.11.14.4-r9.apk 12-Jul-2025 16:07 143K
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk 12-Jul-2025 16:07 3427
py3-piper-tts-2023.11.14.2-r14.apk 12-Jul-2025 16:07 41K
py3-playsound-1.3.0-r1.apk 25-Oct-2024 19:02 7025
py3-playsound-pyc-1.3.0-r1.apk 25-Oct-2024 19:02 8748
py3-plotly-5.24.1-r2.apk 18-Apr-2025 22:14 20M
py3-pltable-1.1.0-r1.apk 13-Nov-2024 06:43 19K
py3-pltable-pyc-1.1.0-r1.apk 13-Nov-2024 06:43 33K
py3-pockethernet-0.7.0-r4.apk 25-Oct-2024 19:02 15K
py3-pockethernet-pyc-0.7.0-r4.apk 25-Oct-2024 19:02 25K
py3-poetry-dynamic-versioning-1.9.1-r0.apk 26-Jul-2025 00:18 21K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk 26-Jul-2025 00:18 26K
py3-poppler-qt5-21.3.0-r2.apk 29-Jan-2025 20:02 120K
py3-ppk2-api-0.9.2-r0.apk 30-Nov-2024 21:06 16K
py3-ppk2-api-pyc-0.9.2-r0.apk 30-Nov-2024 21:06 17K
py3-pprintpp-0.4.0-r1.apk 25-Oct-2024 19:02 14K
py3-pprintpp-pyc-0.4.0-r1.apk 25-Oct-2024 19:02 16K
py3-prctl-1.8.1-r0.apk 20-Oct-2025 13:50 12K
py3-prctl-pyc-1.8.1-r0.apk 20-Oct-2025 13:50 7406
py3-prefixed-0.9.0-r0.apk 28-Aug-2025 20:04 14K
py3-prefixed-pyc-0.9.0-r0.apk 28-Aug-2025 20:04 8815
py3-print-color-0.4.6-r0.apk 25-Oct-2024 19:02 9070
py3-print-color-doc-0.4.6-r0.apk 25-Oct-2024 19:02 2681
py3-print-color-pyc-0.4.6-r0.apk 25-Oct-2024 19:02 5088
py3-proglog-0.1.10-r2.apk 25-Oct-2024 19:02 7557
py3-proglog-pyc-0.1.10-r2.apk 25-Oct-2024 19:02 10K
py3-protego-0.3.1-r0.apk 30-Nov-2024 20:18 9561
py3-protego-pyc-0.3.1-r0.apk 30-Nov-2024 20:18 12K
py3-proxmoxer-2.2.0-r0.apk 16-Dec-2024 11:36 17K
py3-proxmoxer-pyc-2.2.0-r0.apk 16-Dec-2024 11:36 28K
py3-pure_protobuf-3.1.2-r0.apk 25-Oct-2024 19:02 21K
py3-pure_protobuf-pyc-3.1.2-r0.apk 25-Oct-2024 19:02 38K
py3-py-radix-0.10.0-r11.apk 30-Dec-2025 21:01 21K
py3-py-radix-pyc-0.10.0-r11.apk 30-Dec-2025 21:01 11K
py3-pyatem-0.5.0-r4.apk 25-Oct-2024 19:02 52K
py3-pyatem-pyc-0.5.0-r4.apk 25-Oct-2024 19:02 92K
py3-pyautogui-0.9.53-r5.apk 25-Oct-2024 19:02 35K
py3-pyautogui-pyc-0.9.53-r5.apk 25-Oct-2024 19:02 45K
py3-pybars3-0.9.7-r6.apk 25-Oct-2024 19:02 15K
py3-pybars3-pyc-0.9.7-r6.apk 25-Oct-2024 19:02 17K
py3-pycaption-2.2.15-r0.apk 25-Oct-2024 19:02 360K
py3-pycolorterm-0.2.1-r6.apk 25-Oct-2024 19:02 5587
py3-pycolorterm-pyc-0.2.1-r6.apk 25-Oct-2024 19:02 3816
py3-pycosat-0.6.6-r2.apk 25-Oct-2024 19:02 45K
py3-pydes-2.0.1-r5.apk 25-Oct-2024 19:02 11K
py3-pydes-doc-2.0.1-r5.apk 25-Oct-2024 19:02 3730
py3-pydes-pyc-2.0.1-r5.apk 25-Oct-2024 19:02 13K
py3-pygelbooru-1.0.0-r0.apk 30-Jul-2025 04:28 20K
py3-pygelbooru-pyc-1.0.0-r0.apk 30-Jul-2025 04:28 11K
py3-pygfm-2.0.0-r2.apk 25-Oct-2024 19:02 13K
py3-pygfm-pyc-2.0.0-r2.apk 25-Oct-2024 19:02 13K
py3-pyglet-2.1.5-r1.apk 27-Aug-2025 14:45 890K
py3-pyglet-pyc-2.1.5-r1.apk 27-Aug-2025 14:45 2M
py3-pyglm-2.7.3-r0.apk 06-Nov-2024 09:41 1M
py3-pygpgme-0.3.1-r10.apk 17-Jan-2026 22:42 36K
py3-pygpgme-pyc-0.3.1-r10.apk 17-Jan-2026 22:42 5186
py3-pygtail-0.14.0-r3.apk 25-Oct-2024 19:02 15K
py3-pygtail-pyc-0.14.0-r3.apk 25-Oct-2024 19:02 10K
py3-pyinstaller-6.6.0-r0.apk 25-Oct-2024 19:02 2M
py3-pyinstaller-pyc-6.6.0-r0.apk 25-Oct-2024 19:02 502K
py3-pyisbn-1.3.1-r3.apk 25-Oct-2024 19:02 20K
py3-pyisbn-pyc-1.3.1-r3.apk 25-Oct-2024 19:02 9632
py3-pylru-1.2.1-r1.apk 25-Oct-2024 19:02 17K
py3-pylru-pyc-1.2.1-r1.apk 25-Oct-2024 19:02 9160
py3-pymaging-0.0.20130908-r10.apk 25-Oct-2024 19:02 18K
py3-pymaging-png-0.0.20130727-r10.apk 25-Oct-2024 19:02 35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk 25-Oct-2024 19:02 52K
py3-pymaging-pyc-0.0.20130908-r10.apk 25-Oct-2024 19:02 32K
py3-pymata-2.20-r4.apk 25-Oct-2024 19:02 22K
py3-pymata-pyc-2.20-r4.apk 25-Oct-2024 19:02 29K
py3-pymata4-1.15-r4.apk 25-Oct-2024 19:02 23K
py3-pymata4-pyc-1.15-r4.apk 25-Oct-2024 19:02 31K
py3-pymeta3-0.5.1-r6.apk 25-Oct-2024 19:02 17K
py3-pymeta3-pyc-0.5.1-r6.apk 25-Oct-2024 19:02 32K
py3-pymsgbox-1.0.9-r5.apk 25-Oct-2024 19:02 9382
py3-pymsgbox-pyc-1.0.9-r5.apk 25-Oct-2024 19:02 10K
py3-pymsteams-0.2.5-r0.apk 22-Feb-2025 14:39 12K
py3-pymsteams-pyc-0.2.5-r0.apk 22-Feb-2025 14:39 6695
py3-pymupdf-1.26.4-r2.apk 19-Dec-2025 20:33 318K
py3-pymupdf-pyc-1.26.4-r2.apk 19-Dec-2025 20:33 500K
py3-pynest2d-5.2.2-r5.apk 06-Feb-2025 04:45 246K
py3-pypandoc-1.16.2-r0.apk 28-Jan-2026 19:25 19K
py3-pypandoc-pyc-1.16.2-r0.apk 28-Jan-2026 19:25 21K
py3-pyparted-3.13.0-r1.apk 25-Oct-2024 19:02 76K
py3-pyparted-pyc-3.13.0-r1.apk 25-Oct-2024 19:02 42K
py3-pypubsub-4.0.3-r0.apk 25-Oct-2024 19:02 52K
py3-pypubsub-doc-4.0.3-r0.apk 25-Oct-2024 19:02 2192
py3-pypubsub-pyc-4.0.3-r0.apk 25-Oct-2024 19:02 90K
py3-pyqrcode-1.2.1-r0.apk 25-Oct-2024 19:02 37K
py3-pyqrcode-doc-1.2.1-r0.apk 25-Oct-2024 19:02 4406
py3-pyqrcode-pyc-1.2.1-r0.apk 25-Oct-2024 19:02 47K
py3-pyroma-4.2-r0.apk 25-Oct-2024 19:02 22K
py3-pyroma-pyc-4.2-r0.apk 25-Oct-2024 19:02 26K
py3-pyscreeze-0.1.29-r3.apk 25-Oct-2024 19:02 14K
py3-pyscreeze-pyc-0.1.29-r3.apk 25-Oct-2024 19:02 14K
py3-pysimplesoap-1.16.2-r7.apk 25-Oct-2024 19:02 44K
py3-pysimplesoap-pyc-1.16.2-r7.apk 25-Oct-2024 19:02 78K
py3-pysonic-1.0.3-r0.apk 16-Apr-2025 22:35 35K
py3-pysonic-pyc-1.0.3-r0.apk 16-Apr-2025 22:35 32K
py3-pyspinel-1.0.3-r1.apk 25-Oct-2024 19:02 56K
py3-pyspinel-pyc-1.0.3-r1.apk 25-Oct-2024 19:02 63K
py3-pysrt-1.1.2-r5.apk 14-May-2025 18:16 25K
py3-pysrt-pyc-1.1.2-r5.apk 14-May-2025 18:16 23K
py3-pystache-0.6.5-r1.apk 25-Oct-2024 19:02 68K
py3-pystache-pyc-0.6.5-r1.apk 25-Oct-2024 19:02 97K
py3-pysubs2-1.8.0-r0.apk 25-Dec-2024 22:09 36K
py3-pysubs2-pyc-1.8.0-r0.apk 25-Dec-2024 22:09 68K
py3-pytaglib-3.0.0-r0.apk 26-Jan-2025 20:44 39K
py3-pytaglib-pyc-3.0.0-r0.apk 26-Jan-2025 20:44 2940
py3-pytap2-2.3.0-r0.apk 25-Oct-2024 19:02 7137
py3-pytap2-doc-2.3.0-r0.apk 25-Oct-2024 19:02 2861
py3-pytap2-pyc-2.3.0-r0.apk 25-Oct-2024 19:02 6130
py3-pyte-0.8.2-r3.apk 14-May-2025 00:10 30K
py3-pyte-pyc-0.8.2-r3.apk 14-May-2025 00:10 39K
py3-pytest-datadir-1.8.0-r0.apk 05-Aug-2025 12:51 7252
py3-pytest-datadir-pyc-1.8.0-r0.apk 05-Aug-2025 12:51 5522
py3-pytest-expect-1.1.0-r10.apk 25-Oct-2024 19:02 6035
py3-pytest-expect-pyc-1.1.0-r10.apk 25-Oct-2024 19:02 7122
py3-pytest-home-0.6.0-r0.apk 25-Oct-2024 19:02 4642
py3-pytest-home-pyc-0.6.0-r0.apk 25-Oct-2024 19:02 2867
py3-pytest-html-4.1.1-r1.apk 25-Oct-2024 19:02 22K
py3-pytest-html-pyc-4.1.1-r1.apk 25-Oct-2024 19:02 22K
py3-pytest-metadata-3.1.1-r0.apk 25-Oct-2024 19:02 10K
py3-pytest-metadata-pyc-3.1.1-r0.apk 25-Oct-2024 19:02 8085
py3-pytest-regtest-2.3.5-r0.apk 12-Oct-2025 13:32 16K
py3-pytest-regtest-pyc-2.3.5-r0.apk 12-Oct-2025 13:32 30K
py3-pytest-subprocess-1.5.2-r0.apk 25-Oct-2024 19:02 20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk 25-Oct-2024 19:02 25K
py3-pytest-textual-snapshot-1.1.0-r0.apk 08-Aug-2025 14:20 9399
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk 08-Aug-2025 14:20 11K
py3-python-archive-0.2-r7.apk 25-Oct-2024 19:02 7571
py3-python-archive-pyc-0.2-r7.apk 25-Oct-2024 19:02 9573
py3-python-iptables-1.2.0-r1.apk 12-Dec-2025 15:43 38K
py3-python-iptables-pyc-1.2.0-r1.apk 12-Dec-2025 15:43 68K
py3-python-jwt-4.1.0-r2.apk 15-May-2025 21:26 8260
py3-python-jwt-pyc-4.1.0-r2.apk 15-May-2025 21:26 6625
py3-python-logstash-0.4.8-r4.apk 25-Oct-2024 19:02 8808
py3-python-logstash-doc-0.4.8-r4.apk 25-Oct-2024 19:02 2313
py3-python-logstash-pyc-0.4.8-r4.apk 25-Oct-2024 19:02 8616
py3-python-stdnum-1.20-r0.apk 25-Oct-2024 19:02 806K
py3-python-stdnum-pyc-1.20-r0.apk 25-Oct-2024 19:02 293K
py3-pyvcd-0.4.1-r0.apk 11-Nov-2024 21:46 23K
py3-pyvcd-pyc-0.4.1-r0.apk 11-Nov-2024 21:46 40K
py3-pyzor-1.1.2-r0.apk 14-Aug-2025 06:26 40K
py3-pyzor-pyc-1.1.2-r0.apk 14-Aug-2025 06:26 54K
py3-qasync-0.19.0-r2.apk 25-Oct-2024 19:02 37K
py3-qdldl-0.1.5-r4.apk 25-Oct-2024 19:02 94K
py3-qgis-3.44.5-r0.apk 04-Dec-2025 22:48 22M
py3-qpageview-0.6.2-r1.apk 25-Oct-2024 19:02 98K
py3-qpageview-doc-0.6.2-r1.apk 25-Oct-2024 19:02 56K
py3-qpageview-pyc-0.6.2-r1.apk 25-Oct-2024 19:02 180K
py3-qt.py-1.3.10-r1.apk 25-Oct-2024 19:02 33K
py3-qt.py-pyc-1.3.10-r1.apk 25-Oct-2024 19:02 25K
py3-quebra-frases-0.3.7-r1.apk 25-Oct-2024 19:02 9027
py3-quebra-frases-pyc-0.3.7-r1.apk 25-Oct-2024 19:02 8003
py3-queuelib-1.8.0-r0.apk 01-Sep-2025 18:13 12K
py3-queuelib-pyc-1.8.0-r0.apk 01-Sep-2025 18:13 24K
py3-r2pipe-5.9.0-r0.apk 29-Dec-2025 21:20 12K
py3-r2pipe-doc-5.9.0-r0.apk 29-Dec-2025 21:20 22K
py3-r2pipe-pyc-5.9.0-r0.apk 29-Dec-2025 21:20 21K
py3-rabbit-1.1.0-r8.apk 25-Oct-2024 19:02 11K
py3-rabbit-pyc-1.1.0-r8.apk 25-Oct-2024 19:02 15K
py3-radon-6.0.1-r2.apk 25-Oct-2024 19:02 32K
py3-radon-doc-6.0.1-r2.apk 25-Oct-2024 19:02 5264
py3-radon-pyc-6.0.1-r2.apk 25-Oct-2024 19:02 50K
py3-recommonmark-0.7.1-r4.apk 25-Oct-2024 19:02 12K
py3-recommonmark-pyc-0.7.1-r4.apk 25-Oct-2024 19:02 18K
py3-recurring-ical-events-3.8.0-r0.apk 15-Jun-2025 03:57 39K
py3-recurring-ical-events-pyc-3.8.0-r0.apk 15-Jun-2025 03:57 50K
py3-redmine-2.5.0-r0.apk 25-Oct-2024 19:02 37K
py3-redmine-pyc-2.5.0-r0.apk 25-Oct-2024 19:02 54K
py3-remind-0.19.2-r0.apk 22-Apr-2025 17:48 24K
py3-remind-pyc-0.19.2-r0.apk 22-Apr-2025 17:48 23K
py3-requests-cache-1.2.1-r2.apk 18-Jan-2026 08:49 50K
py3-requests-cache-pyc-1.2.1-r2.apk 18-Jan-2026 08:49 94K
py3-requests-kerberos-0.15.0-r0.apk 01-Dec-2024 17:24 12K
py3-requests-kerberos-pyc-0.15.0-r0.apk 01-Dec-2024 17:24 11K
py3-requests-wsgi-adapter-0.4.1-r1.apk 25-Oct-2024 19:02 5647
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk 25-Oct-2024 19:02 6757
py3-rfc-bibtex-0.3.2-r7.apk 25-Oct-2024 19:02 13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk 25-Oct-2024 19:02 12K
py3-rich-click-1.7.3-r1.apk 25-Oct-2024 19:02 31K
py3-rich-click-pyc-1.7.3-r1.apk 25-Oct-2024 19:02 40K
py3-riotctrl-0.5.0-r4.apk 25-Oct-2024 19:02 13K
py3-riotctrl-pyc-0.5.0-r4.apk 25-Oct-2024 19:02 11K
py3-rns-1.0.0-r0.apk 23-Sep-2025 22:32 344K
py3-rns-pyc-1.0.0-r0.apk 23-Sep-2025 22:32 705K
py3-rofi-1.0.1-r1.apk 09-Aug-2025 16:28 12K
py3-rofi-pyc-1.0.1-r1.apk 09-Aug-2025 16:28 12K
py3-rosdistro-0.9.0-r3.apk 25-Oct-2024 19:02 47K
py3-rosdistro-pyc-0.9.0-r3.apk 25-Oct-2024 19:02 91K
py3-rospkg-1.2.9-r5.apk 25-Oct-2024 19:02 29K
py3-rospkg-pyc-1.2.9-r5.apk 25-Oct-2024 19:02 54K
py3-rpio-0.10.1-r8.apk 25-Oct-2024 19:02 35K
py3-rpio-pyc-0.10.1-r8.apk 25-Oct-2024 19:02 16K
py3-rst-0.1-r9.apk 25-Oct-2024 19:02 5697
py3-rst-pyc-0.1-r9.apk 25-Oct-2024 19:02 6260
py3-rst.linker-2.6.0-r0.apk 25-Oct-2024 19:02 6243
py3-rst.linker-pyc-2.6.0-r0.apk 25-Oct-2024 19:02 6808
py3-rst2ansi-0.1.5-r0.apk 25-Oct-2024 19:02 12K
py3-rst2ansi-doc-0.1.5-r0.apk 25-Oct-2024 19:02 2291
py3-rst2ansi-pyc-0.1.5-r0.apk 25-Oct-2024 19:02 24K
py3-rst2pdf-0.102-r0.apk 10-Jun-2025 18:55 155K
py3-rst2pdf-pyc-0.102-r0.apk 10-Jun-2025 18:55 206K
py3-saltext-s3fs-1.0.0-r0.apk 24-Jan-2026 13:54 16K
py3-saltext-s3fs-pyc-1.0.0-r0.apk 24-Jan-2026 13:54 16K
py3-schema-0.7.7-r0.apk 07-Jul-2025 16:14 19K
py3-schema-pyc-0.7.7-r0.apk 07-Jul-2025 16:14 21K
py3-scour-0.38.2-r1.apk 25-Oct-2024 19:02 56K
py3-scour-pyc-0.38.2-r1.apk 25-Oct-2024 19:02 74K
py3-scrapy-2.13.4-r0.apk 05-Jan-2026 22:27 252K
py3-scrapy-pyc-2.13.4-r0.apk 05-Jan-2026 22:27 521K
py3-scs-3.2.3-r4.apk 25-Oct-2024 19:02 105K
py3-scs-pyc-3.2.3-r4.apk 25-Oct-2024 19:02 5043
py3-senf-1.5.0-r0.apk 27-Jun-2025 10:32 20K
py3-senf-pyc-1.5.0-r0.apk 27-Jun-2025 10:32 32K
py3-seqdiag-3.0.0-r5.apk 25-Oct-2024 19:02 2M
py3-seqdiag-pyc-3.0.0-r5.apk 25-Oct-2024 19:02 42K
py3-setuptools-lint-0.6.0-r9.apk 25-Oct-2024 19:02 5555
py3-setuptools-lint-pyc-0.6.0-r9.apk 25-Oct-2024 19:02 6152
py3-sh-2.1.0-r0.apk 01-Nov-2024 15:13 38K
py3-sh-pyc-2.1.0-r0.apk 01-Nov-2024 15:13 55K
py3-shodan-1.31.0-r1.apk 25-Oct-2024 19:02 44K
py3-shodan-doc-1.31.0-r1.apk 25-Oct-2024 19:02 7371
py3-shodan-pyc-1.31.0-r1.apk 25-Oct-2024 19:02 79K
py3-simber-0.2.6-r5.apk 14-May-2025 18:16 12K
py3-simber-pyc-0.2.6-r5.apk 14-May-2025 18:16 16K
py3-simple-websocket-1.1.0-r0.apk 06-Sep-2025 16:20 11K
py3-simple-websocket-doc-1.1.0-r0.apk 06-Sep-2025 16:20 2345
py3-simple-websocket-pyc-1.1.0-r0.apk 06-Sep-2025 16:20 23K
py3-simpleeval-1.0.3-r0.apk 24-Jun-2025 19:38 16K
py3-simpleeval-pyc-1.0.3-r0.apk 24-Jun-2025 19:38 16K
py3-simplematch-1.4-r1.apk 25-Oct-2024 19:02 8190
py3-simplematch-pyc-1.4-r1.apk 25-Oct-2024 19:02 5940
py3-simplesat-0.8.2-r0.apk 25-Oct-2024 19:02 214K
py3-simplesat-pyc-0.8.2-r0.apk 25-Oct-2024 19:02 157K
py3-simplesoapy-1.5.1-r7.apk 25-Oct-2024 19:02 8052
py3-simplesoapy-pyc-1.5.1-r7.apk 25-Oct-2024 19:02 12K
py3-simplespectral-1.0.0-r5.apk 25-Oct-2024 19:02 7682
py3-simplespectral-pyc-1.0.0-r5.apk 25-Oct-2024 19:02 8272
py3-slidge-style-parser-0.1.9-r0.apk 13-Apr-2025 21:31 209K
py3-slidge-style-parser-pyc-0.1.9-r0.apk 13-Apr-2025 21:31 2015
py3-slixmpp-1.8.5-r2.apk 25-Oct-2024 19:02 382K
py3-slixmpp-doc-1.8.5-r2.apk 25-Oct-2024 19:02 5946
py3-slixmpp-pyc-1.8.5-r2.apk 25-Oct-2024 19:02 729K
py3-snapshottest-0.6.0-r5.apk 25-Oct-2024 19:02 15K
py3-snapshottest-pyc-0.6.0-r5.apk 25-Oct-2024 19:02 26K
py3-soapy_power-1.6.1-r5.apk 25-Oct-2024 19:02 17K
py3-soapy_power-pyc-1.6.1-r5.apk 25-Oct-2024 19:02 27K
py3-socketio-5.13.0-r0.apk 06-Sep-2025 16:20 62K
py3-socketio-doc-5.13.0-r0.apk 06-Sep-2025 16:20 36K
py3-socketio-pyc-5.13.0-r0.apk 06-Sep-2025 16:20 127K
py3-solidpython-1.1.2-r2.apk 25-Oct-2024 19:02 79K
py3-solidpython-pyc-1.1.2-r2.apk 25-Oct-2024 19:02 120K
py3-sortedcollections-2.1.0-r5.apk 25-Oct-2024 19:02 11K
py3-sortedcollections-pyc-2.1.0-r5.apk 25-Oct-2024 19:02 14K
py3-spake2-0.9-r0.apk 25-Oct-2024 19:02 30K
py3-spake2-pyc-0.9-r0.apk 25-Oct-2024 19:02 44K
py3-sphinx-argparse-0.5.2-r0.apk 25-Oct-2024 19:02 14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk 25-Oct-2024 19:02 22K
py3-sphinx-autoapi-3.6.1-r0.apk 11-Oct-2025 10:59 31K
py3-sphinx-autoapi-pyc-3.6.1-r0.apk 11-Oct-2025 10:59 59K
py3-sphinx-theme-better-0.1.5-r7.apk 25-Oct-2024 19:02 11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk 25-Oct-2024 19:02 2029
py3-sphinx-theme-bootstrap-0.8.1-r4.apk 25-Oct-2024 19:02 1M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk 25-Oct-2024 19:02 2402
py3-sphinx-theme-bw-0.1.8-r7.apk 25-Oct-2024 19:02 65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk 25-Oct-2024 19:02 1879
py3-sphinx-theme-cloud-1.10.0-r2.apk 25-Oct-2024 19:02 81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk 25-Oct-2024 19:02 43K
py3-sphinx-theme-epfl-1.1.1-r9.apk 25-Oct-2024 19:02 30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk 25-Oct-2024 19:02 2532
py3-sphinx-theme-guzzle-0.7.11-r7.apk 25-Oct-2024 19:02 2M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk 25-Oct-2024 19:02 5640
py3-sphinx-theme-readable-1.3.0-r9.apk 25-Oct-2024 19:02 9029
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk 25-Oct-2024 19:02 2264
py3-sphinxcontrib-actdiag-3.0.0-r4.apk 25-Oct-2024 19:02 7815
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk 25-Oct-2024 19:02 9501
py3-sphinxcontrib-adadomain-0.2-r9.apk 25-Oct-2024 19:02 9222
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk 25-Oct-2024 19:02 12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk 25-Oct-2024 19:02 5809
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk 25-Oct-2024 19:02 4183
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk 25-Oct-2024 19:02 7724
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk 25-Oct-2024 19:02 9372
py3-sphinxcontrib-cacoo-2.0.0-r7.apk 25-Oct-2024 19:02 5868
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk 25-Oct-2024 19:02 4353
py3-sphinxcontrib-cartouche-1.1.2-r7.apk 25-Oct-2024 19:02 18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk 25-Oct-2024 19:02 34K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk 25-Oct-2024 19:02 9336
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk 25-Oct-2024 19:02 3561
py3-sphinxcontrib-gist-0.1.0-r9.apk 25-Oct-2024 19:02 4007
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk 25-Oct-2024 19:02 3413
py3-sphinxcontrib-gravatar-0.1.2-r8.apk 25-Oct-2024 19:02 8053
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk 25-Oct-2024 19:02 7803
py3-sphinxcontrib-htsql-0.1.5-r8.apk 25-Oct-2024 19:02 11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk 25-Oct-2024 19:02 15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk 25-Oct-2024 19:02 18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk 25-Oct-2024 19:02 4441
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk 25-Oct-2024 19:02 34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 19:02 21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 19:02 44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk 25-Oct-2024 19:02 11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk 25-Oct-2024 19:02 15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk 25-Oct-2024 19:02 11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk 25-Oct-2024 19:02 12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk 25-Oct-2024 19:02 8114
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk 25-Oct-2024 19:02 11K
py3-sphinxcontrib-manpage-0.6-r8.apk 25-Oct-2024 19:02 4234
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk 25-Oct-2024 19:02 3222
py3-sphinxcontrib-mermaid-1.0.0-r0.apk 10-May-2025 03:12 10K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk 10-May-2025 03:12 16K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk 25-Oct-2024 19:02 8922
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk 25-Oct-2024 19:02 11K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk 12-Jul-2025 16:07 11K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk 12-Jul-2025 16:07 17K
py3-sphinxcontrib-plantuml-0.30-r0.apk 10-May-2025 03:12 12K
py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk 10-May-2025 03:12 19K
py3-sphinxcontrib-programoutput-0.17-r5.apk 25-Oct-2024 19:02 16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk 25-Oct-2024 19:02 24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk 25-Oct-2024 19:02 11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk 25-Oct-2024 19:02 20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk 25-Oct-2024 19:02 7833
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk 25-Oct-2024 19:02 9519
py3-sphinxcontrib-slide-1.0.0-r4.apk 14-May-2025 00:10 5003
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk 14-May-2025 00:10 5696
py3-sphinxcontrib-spelling-8.0.1-r0.apk 13-Jul-2025 21:43 13K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk 13-Jul-2025 21:43 19K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk 25-Oct-2024 19:02 7524
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk 25-Oct-2024 19:02 5049
py3-sphinxcontrib-textstyle-0.2.3-r8.apk 25-Oct-2024 19:02 6253
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk 25-Oct-2024 19:02 5787
py3-spidev-3.6-r1.apk 25-Oct-2024 19:02 13K
py3-spin-0.8-r0.apk 25-Oct-2024 19:02 19K
py3-spin-pyc-0.8-r0.apk 25-Oct-2024 19:02 24K
py3-spinners-0.0.24-r5.apk 25-Oct-2024 19:02 6235
py3-spinners-pyc-0.0.24-r5.apk 25-Oct-2024 19:02 6396
py3-spnego-0.11.2-r0.apk 16-Jan-2025 07:52 118K
py3-spnego-pyc-0.11.2-r0.apk 16-Jan-2025 07:52 219K
py3-spotipy-2.24.0-r3.apk 14-Oct-2025 15:05 30K
py3-spotipy-pyc-2.24.0-r3.apk 14-Oct-2025 15:05 49K
py3-sqlmodel-0.0.22-r1.apk 06-Dec-2024 23:03 26K
py3-sqlmodel-pyc-0.0.22-r1.apk 06-Dec-2024 23:03 41K
py3-sssd-2.11.1-r2.apk 20-Nov-2025 22:18 58K
py3-sssd-pyc-2.11.1-r2.apk 20-Nov-2025 22:18 49K
py3-sstash-0.17-r9.apk 25-Oct-2024 19:02 7912
py3-sstash-pyc-0.17-r9.apk 25-Oct-2024 19:02 10K
py3-statemachine-2.5.0-r0.apk 29-Jan-2026 23:45 41K
py3-statemachine-pyc-2.5.0-r0.apk 29-Jan-2026 23:45 68K
py3-svglib-1.5.1-r0.apk 10-Jun-2025 18:55 30K
py3-svglib-doc-1.5.1-r0.apk 10-Jun-2025 18:55 2129
py3-svglib-pyc-1.5.1-r0.apk 10-Jun-2025 18:55 42K
py3-swagger-ui-bundle-1.1.0-r1.apk 25-Oct-2024 19:02 2M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk 25-Oct-2024 19:02 2157
py3-synapse-auto-accept-invite-1.2.0-r1.apk 09-Aug-2025 16:28 9996
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk 09-Aug-2025 16:28 5757
py3-tailer-0.4.1-r7.apk 25-Oct-2024 19:02 7036
py3-tailer-pyc-0.4.1-r7.apk 25-Oct-2024 19:02 6794
py3-tasklib-2.5.1-r2.apk 25-Oct-2024 19:02 23K
py3-tasklib-pyc-2.5.1-r2.apk 25-Oct-2024 19:02 52K
py3-telegram-bot-22.5-r0.apk 20-Nov-2025 10:14 497K
py3-telegram-bot-pyc-22.5-r0.apk 20-Nov-2025 10:14 802K
py3-telegram-text-0.2.0-r1.apk 25-Oct-2024 19:02 9410
py3-telegram-text-pyc-0.2.0-r1.apk 25-Oct-2024 19:02 13K
py3-telemetrix-1.20-r3.apk 25-Oct-2024 19:02 21K
py3-telemetrix-pyc-1.20-r3.apk 25-Oct-2024 19:02 31K
py3-teletype-1.3.4-r3.apk 25-Oct-2024 19:02 15K
py3-teletype-pyc-1.3.4-r3.apk 25-Oct-2024 19:02 21K
py3-testresources-2.0.1-r6.apk 25-Oct-2024 19:02 17K
py3-testresources-pyc-2.0.1-r6.apk 25-Oct-2024 19:02 16K
py3-textual-5.3.0-r0.apk 27-Oct-2025 08:46 612K
py3-textual-pyc-5.3.0-r0.apk 27-Oct-2025 08:46 1M
py3-thefuzz-0.22.1-r1.apk 25-Oct-2024 19:02 10K
py3-thefuzz-pyc-0.22.1-r1.apk 25-Oct-2024 19:02 9166
py3-ticket-auth-0.1.4-r9.apk 25-Oct-2024 19:02 6096
py3-ticket-auth-pyc-0.1.4-r9.apk 25-Oct-2024 19:02 6529
py3-tidalapi-0.8.4-r0.apk 12-Jul-2025 16:08 50K
py3-tidalapi-pyc-0.8.4-r0.apk 12-Jul-2025 16:08 91K
py3-timeago-1.0.16-r0.apk 25-Oct-2024 19:02 24K
py3-timeago-doc-1.0.16-r0.apk 25-Oct-2024 19:02 2916
py3-timeago-pyc-1.0.16-r0.apk 25-Oct-2024 19:02 28K
py3-tls_parser-2.0.2-r0.apk 14-Jul-2025 03:41 10K
py3-tls_parser-pyc-2.0.2-r0.apk 14-Jul-2025 03:41 17K
py3-tlslite-ng-0.7.6-r8.apk 25-Oct-2024 19:02 179K
py3-tlslite-ng-pyc-0.7.6-r8.apk 25-Oct-2024 19:02 275K
py3-tokenizers-0.21.2-r0.apk 29-Jun-2025 22:41 2M
py3-tokenizers-pyc-0.21.2-r0.apk 29-Jun-2025 22:41 29K
py3-tpm2-pytss-2.3.0-r1.apk 25-Oct-2024 19:02 292K
py3-tpm2-pytss-pyc-2.3.0-r1.apk 25-Oct-2024 19:02 236K
py3-trakit-0.2.5-r0.apk 24-Nov-2025 01:06 19K
py3-trakit-pyc-0.2.5-r0.apk 24-Nov-2025 01:06 17K
py3-transitions-0.9.2-r0.apk 25-Oct-2024 19:02 98K
py3-transitions-pyc-0.9.2-r0.apk 25-Oct-2024 19:02 129K
py3-translationstring-1.4-r4.apk 25-Oct-2024 19:02 9373
py3-translationstring-pyc-1.4-r4.apk 25-Oct-2024 19:02 8978
py3-trimesh-4.11.1-r0.apk 26-Jan-2026 15:40 680K
py3-trimesh-pyc-4.11.1-r0.apk 26-Jan-2026 15:40 795K
py3-trivup-0.12.2-r2.apk 25-Oct-2024 19:02 34K
py3-trivup-pyc-0.12.2-r2.apk 25-Oct-2024 19:02 55K
py3-typing_inspect-0.9.0-r2.apk 25-Oct-2024 19:02 10K
py3-typing_inspect-pyc-0.9.0-r2.apk 25-Oct-2024 19:02 14K
py3-u-msgpack-2.8.0-r2.apk 25-Oct-2024 19:02 11K
py3-u-msgpack-pyc-2.8.0-r2.apk 25-Oct-2024 19:02 16K
py3-uacme-desec-1.2.1-r0.apk 25-Oct-2024 19:02 5840
py3-uacme-desec-doc-1.2.1-r0.apk 25-Oct-2024 19:02 2247
py3-uacme-desec-pyc-1.2.1-r0.apk 25-Oct-2024 19:02 6931
py3-uc-micro-py-1.0.3-r0.apk 27-Oct-2025 08:46 6700
py3-uc-micro-py-pyc-1.0.3-r0.apk 27-Oct-2025 08:46 4706
py3-unearth-0.18.0-r0.apk 14-Oct-2025 14:54 41K
py3-unearth-pyc-0.18.0-r0.apk 14-Oct-2025 14:54 82K
py3-unicorn-2.1.4-r0.apk 14-Oct-2025 14:38 51K
py3-unicorn-pyc-2.1.4-r0.apk 14-Oct-2025 14:38 92K
py3-unicrypto-0.0.12-r0.apk 03-Nov-2025 08:48 59K
py3-unicrypto-pyc-0.0.12-r0.apk 03-Nov-2025 08:48 92K
py3-unidns-0.0.4-r0.apk 03-Nov-2025 08:48 14K
py3-unidns-examples-0.0.4-r0.apk 03-Nov-2025 08:48 2669
py3-unidns-pyc-0.0.4-r0.apk 03-Nov-2025 08:48 25K
py3-unoconv-0.9.0-r4.apk 14-May-2025 00:10 26K
py3-uptime-3.0.1-r9.apk 25-Oct-2024 19:02 9794
py3-uptime-pyc-3.0.1-r9.apk 25-Oct-2024 19:02 8907
py3-urlobject-2.4.3-r9.apk 25-Oct-2024 19:02 15K
py3-urlobject-pyc-2.4.3-r9.apk 25-Oct-2024 19:02 25K
py3-us-3.2.0-r0.apk 25-Oct-2024 19:02 14K
py3-us-pyc-3.2.0-r0.apk 25-Oct-2024 19:02 15K
py3-utc-0.0.3-r9.apk 25-Oct-2024 19:02 3555
py3-utc-pyc-0.0.3-r9.apk 25-Oct-2024 19:02 2826
py3-vatnumber-1.2-r9.apk 25-Oct-2024 19:02 19K
py3-vatnumber-pyc-1.2-r9.apk 25-Oct-2024 19:02 8831
py3-vdf-3.4-r2.apk 16-Oct-2025 23:45 11K
py3-vdf-pyc-3.4-r2.apk 16-Oct-2025 23:45 17K
py3-venusian-3.1.1-r0.apk 07-Dec-2024 21:14 14K
py3-venusian-pyc-3.1.1-r0.apk 07-Dec-2024 21:14 12K
py3-virtualenvwrapper-6.1.1-r1.apk 18-Dec-2025 11:03 22K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk 18-Dec-2025 11:03 12K
py3-visitor-0.1.3-r7.apk 25-Oct-2024 19:02 4736
py3-visitor-pyc-0.1.3-r7.apk 25-Oct-2024 19:02 2685
py3-ward-0.67.0_beta0-r2.apk 25-Oct-2024 19:02 41K
py3-ward-pyc-0.67.0_beta0-r2.apk 25-Oct-2024 19:02 80K
py3-wbdata-1.1.0-r0.apk 15-Jan-2026 05:27 18K
py3-wbdata-pyc-1.1.0-r0.apk 15-Jan-2026 05:27 20K
py3-webrtcvad-2.0.10-r1.apk 25-Oct-2024 19:02 23K
py3-webrtcvad-pyc-2.0.10-r1.apk 25-Oct-2024 19:02 2856
py3-wg-netns-2.3.1-r1.apk 25-Oct-2024 19:02 7749
py3-wg-netns-pyc-2.3.1-r1.apk 25-Oct-2024 19:02 13K
py3-wgconfig-1.1.0-r0.apk 29-Jan-2025 16:00 22K
py3-wgconfig-pyc-1.1.0-r0.apk 29-Jan-2025 16:00 12K
py3-wifi-0.3.8-r7.apk 25-Oct-2024 19:02 13K
py3-wifi-pyc-0.3.8-r7.apk 25-Oct-2024 19:02 14K
py3-wikipedia-1.4.0-r0.apk 14-Sep-2025 07:34 12K
py3-wikipedia-doc-1.4.0-r0.apk 14-Sep-2025 07:34 4244
py3-wikipedia-pyc-1.4.0-r0.apk 14-Sep-2025 07:34 16K
py3-winacl-0.1.9-r1.apk 29-May-2025 12:00 83K
py3-winacl-pyc-0.1.9-r1.apk 29-May-2025 12:00 131K
py3-wsgiprox-1.5.2-r1.apk 25-Oct-2024 19:02 17K
py3-wsgiprox-pyc-1.5.2-r1.apk 25-Oct-2024 19:02 28K
py3-wtf-peewee-3.0.6-r0.apk 25-Oct-2024 19:02 13K
py3-wtf-peewee-pyc-3.0.6-r0.apk 25-Oct-2024 19:02 25K
py3-x-wr-timezone-2.0.1-r0.apk 09-Feb-2025 15:13 12K
py3-x-wr-timezone-pyc-2.0.1-r0.apk 09-Feb-2025 15:13 7265
py3-xapp-3.0.1-r0.apk 09-Jan-2026 16:03 72K
py3-xdoctest-1.2.0-r0.apk 21-Nov-2024 13:32 312K
py3-xsdata-26.1-r0.apk 20-Jan-2026 11:16 185K
py3-xsdata-pyc-26.1-r0.apk 20-Jan-2026 11:16 392K
py3-yapsy-1.12.2-r7.apk 25-Oct-2024 19:02 32K
py3-yapsy-pyc-1.12.2-r7.apk 25-Oct-2024 19:02 47K
py3-yara-4.5.4-r0.apk 13-Aug-2025 15:32 17K
py3-yosys-0.57-r0.apk 24-Oct-2025 21:50 557K
py3-youtube-search-1.6.6-r5.apk 14-May-2025 18:16 78K
py3-youtube-search-pyc-1.6.6-r5.apk 14-May-2025 18:16 95K
py3-zimscraperlib-3.4.0-r0.apk 06-Nov-2024 09:41 52K
py3-zimscraperlib-pyc-3.4.0-r0.apk 06-Nov-2024 09:41 68K
py3-zipfile2-0.0.12-r0.apk 25-Oct-2024 19:02 45K
py3-zipfile2-pyc-0.0.12-r0.apk 25-Oct-2024 19:02 29K
py3-zope-configuration-7.0-r0.apk 02-Dec-2025 00:39 39K
py3-zope-configuration-pyc-7.0-r0.apk 02-Dec-2025 00:39 49K
py3-zope-i18nmessageid-8.2-r0.apk 25-Dec-2025 12:29 14K
py3-zope-i18nmessageid-pyc-8.2-r0.apk 25-Dec-2025 12:29 8602
py3-zope-schema-7.0.1-r3.apk 25-Oct-2024 19:02 45K
py3-zope-schema-pyc-7.0.1-r3.apk 25-Oct-2024 19:02 61K
pyinfra-3.3.1-r0.apk 16-Jul-2025 00:27 192K
pyinfra-pyc-3.3.1-r0.apk 16-Jul-2025 00:27 361K
pympress-1.8.6-r0.apk 10-Nov-2025 05:46 180K
pympress-doc-1.8.6-r0.apk 10-Nov-2025 05:46 75K
pympress-lang-1.8.6-r0.apk 10-Nov-2025 05:46 59K
pympress-pyc-1.8.6-r0.apk 10-Nov-2025 05:46 182K
pyonji-0.1.0-r12.apk 17-Jan-2026 22:42 3M
pypy-7.3.19-r0.apk 01-Nov-2025 15:12 18M
pypy-bootstrap-7.3.19-r0.apk 01-Nov-2025 15:12 19M
pypy-dev-7.3.19-r0.apk 01-Nov-2025 15:12 75K
pypy-tkinter-7.3.19-r0.apk 01-Nov-2025 15:12 446K
pypy3-7.3.19-r0.apk 01-Nov-2025 15:12 18M
pypy3-dev-7.3.19-r0.apk 01-Nov-2025 15:12 573K
pypy3-pyc-7.3.19-r0.apk 01-Nov-2025 15:12 6M
pypy3-tests-7.3.19-r0.apk 01-Nov-2025 15:12 13M
pypy3-tkinter-7.3.19-r0.apk 01-Nov-2025 15:12 299K
pypykatz-0.6.13-r1.apk 02-Jan-2026 20:14 316K
pypykatz-pyc-0.6.13-r1.apk 02-Jan-2026 20:14 724K
pyradio-0.9.3.11-r0.apk 25-Oct-2024 19:02 871K
pyradio-doc-0.9.3.11-r0.apk 25-Oct-2024 19:02 113K
pyradio-pyc-0.9.3.11-r0.apk 25-Oct-2024 19:02 810K
q6voiced-0.2.1-r0.apk 30-Dec-2025 13:28 4578
q6voiced-doc-0.2.1-r0.apk 30-Dec-2025 13:28 2326
q6voiced-openrc-0.2.1-r0.apk 30-Dec-2025 13:28 1844
q6voiced-systemd-0.2.1-r0.apk 30-Dec-2025 13:28 1885
qadwaitadecorations-0.1.7-r2.apk 17-Jan-2026 22:42 45K
qbittorrent-cli-2.2.0-r6.apk 17-Jan-2026 22:42 6M
qdjango-0.6.2-r1.apk 25-Oct-2024 19:02 97K
qdjango-dev-0.6.2-r1.apk 25-Oct-2024 19:02 14K
qflipper-1.3.3-r1.apk 25-Oct-2024 19:02 476K
qflipper-gui-1.3.3-r1.apk 25-Oct-2024 19:02 1M
qgis-3.44.5-r0.apk 04-Dec-2025 22:48 51M
qgis-dev-3.44.5-r0.apk 04-Dec-2025 22:48 4M
qgis-doc-3.44.5-r0.apk 04-Dec-2025 22:48 3123
qgis-grass-3.44.5-r0.apk 04-Dec-2025 22:48 1M
qgis-lang-3.44.5-r0.apk 04-Dec-2025 22:48 33M
qgis-server-3.44.5-r0.apk 04-Dec-2025 22:48 2M
qmk-cli-1.2.0-r0.apk 29-Nov-2025 12:10 15K
qmk-cli-pyc-1.2.0-r0.apk 29-Nov-2025 12:10 23K
qmk-cli-udev-1.2.0-r0.apk 29-Nov-2025 12:10 2439
qml-box2d-0_git20180406-r0.apk 25-Oct-2024 19:02 139K
qoi-0.0.0_git20230312-r0.apk 25-Oct-2024 19:02 1506
qoi-dev-0.0.0_git20230312-r0.apk 25-Oct-2024 19:02 7000
qoiconv-0.0.0_git20230312-r0.apk 25-Oct-2024 19:02 29K
qownnotes-25.12.5-r0.apk 14-Dec-2025 22:31 3M
qownnotes-lang-25.12.5-r0.apk 14-Dec-2025 22:31 5M
qpdfview-0.5-r2.apk 29-Jan-2025 20:02 1002K
qpdfview-doc-0.5-r2.apk 29-Jan-2025 20:02 4348
qperf-0.4.11-r2.apk 14-May-2025 00:10 30K
qperf-doc-0.4.11-r2.apk 14-May-2025 00:10 5701
qqc2-suru-style-0.20230206-r1.apk 25-Oct-2024 19:02 172K
qspectrumanalyzer-2.2.0-r5.apk 25-Oct-2024 19:02 54K
qspectrumanalyzer-pyc-2.2.0-r5.apk 25-Oct-2024 19:02 62K
qsstv-9.5.8-r2.apk 25-Oct-2024 19:02 974K
qstardict-2.0.2-r1.apk 24-Nov-2024 10:45 446K
qstardict-doc-2.0.2-r1.apk 24-Nov-2024 10:45 11K
qsynth-1.0.3-r0.apk 25-Nov-2025 11:01 435K
qsynth-doc-1.0.3-r0.apk 25-Nov-2025 11:01 4479
qt-creator-18.0.2-r0.apk 27-Jan-2026 17:12 48M
qt-creator-dbg-18.0.2-r0.apk 27-Jan-2026 17:13 471M
qt-wayland-shell-helpers-0.1.1-r3.apk 25-Oct-2024 19:02 13K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk 25-Oct-2024 19:02 4037
qt5ct-1.9-r0.apk 19-Nov-2025 04:37 222K
qt5ct-dev-1.9-r0.apk 19-Nov-2025 04:37 1581
qt6-qtgraphs-6.10.1-r0.apk 10-Dec-2025 18:29 1M
qt6-qtgraphs-dev-6.10.1-r0.apk 10-Dec-2025 18:29 118K
qt6ct-0.11-r2.apk 04-Dec-2025 22:48 190K
qtile-0.33.0-r0.apk 17-Sep-2025 07:56 469K
qtile-pyc-0.33.0-r0.apk 17-Sep-2025 07:56 858K
qtmir-0.7.2_git20250407-r5.apk 18-Dec-2025 14:24 504K
qtmir-dev-0.7.2_git20250407-r5.apk 18-Dec-2025 14:24 6799
qtox-1.18.3-r0.apk 29-Nov-2025 16:53 5M
qtpass-1.4.0-r0.apk 25-Oct-2024 19:02 420K
qtpass-doc-1.4.0-r0.apk 25-Oct-2024 19:02 2193
quakespasm-0.96.3-r0.apk 25-Oct-2024 19:02 465K
quark-0.5-r0.apk 11-Oct-2025 17:10 2M
quark-doc-0.5-r0.apk 11-Oct-2025 17:10 4520
queercat-1.0.0-r0.apk 25-Oct-2024 19:02 7451
quickemu-4.9.8-r0.apk 25-Jan-2026 11:42 58K
quickemu-doc-4.9.8-r0.apk 25-Jan-2026 11:42 25K
quodlibet-4.7.1-r1.apk 29-Jan-2026 10:38 1M
quodlibet-bash-completion-4.7.1-r1.apk 29-Jan-2026 10:38 4601
quodlibet-doc-4.7.1-r1.apk 29-Jan-2026 10:38 8862
quodlibet-lang-4.7.1-r1.apk 29-Jan-2026 10:38 1M
quodlibet-pyc-4.7.1-r1.apk 29-Jan-2026 10:38 2M
quodlibet-zsh-completion-4.7.1-r1.apk 29-Jan-2026 10:38 2775
r2ghidra-6.0.8-r0.apk 05-Jan-2026 18:54 11M
radarr-6.0.4.10291-r0.apk 17-Nov-2025 14:44 28M
radarr-openrc-6.0.4.10291-r0.apk 17-Nov-2025 14:44 2091
randrctl-1.10.0-r0.apk 17-Nov-2024 21:22 28K
randrctl-pyc-1.10.0-r0.apk 17-Nov-2024 21:22 31K
rankwidth-0.9-r4.apk 28-Oct-2025 01:54 5397
rankwidth-dev-0.9-r4.apk 28-Oct-2025 01:54 2997
rankwidth-doc-0.9-r4.apk 28-Oct-2025 01:54 2984
rankwidth-libs-0.9-r4.apk 28-Oct-2025 01:54 4881
rankwidth-static-0.9-r4.apk 28-Oct-2025 01:54 4367
raspberrypi-usbboot-20250227-r0.apk 09-Jun-2025 08:50 886K
rathole-0.5.0-r0.apk 25-Oct-2024 19:02 1M
rattler-build-0.18.0-r0.apk 25-Oct-2024 19:02 6M
rattler-build-bash-completion-0.18.0-r0.apk 25-Oct-2024 19:02 3706
rattler-build-doc-0.18.0-r0.apk 25-Oct-2024 19:02 6886
rattler-build-fish-completion-0.18.0-r0.apk 25-Oct-2024 19:02 4831
rattler-build-zsh-completion-0.18.0-r0.apk 25-Oct-2024 19:02 5569
rauc-1.10.1-r0.apk 25-Oct-2024 19:02 143K
rauc-doc-1.10.1-r0.apk 25-Oct-2024 19:02 4268
rauc-service-1.10.1-r0.apk 25-Oct-2024 19:02 3837
razercfg-0.42-r7.apk 25-Oct-2024 19:02 78K
razercfg-gui-0.42-r7.apk 25-Oct-2024 19:02 19K
razercfg-openrc-0.42-r7.apk 25-Oct-2024 19:02 1764
razercfg-pyc-0.42-r7.apk 25-Oct-2024 19:02 36K
rclone-browser-1.8.0-r1.apk 25-Oct-2024 19:02 334K
rdrview-0.1.3-r0.apk 22-Feb-2025 19:30 28K
rdrview-doc-0.1.3-r0.apk 22-Feb-2025 19:30 3781
reaction-2.2.1-r0.apk 23-Sep-2025 22:32 1M
reaction-openrc-2.2.1-r0.apk 23-Sep-2025 22:32 1875
reaction-tools-2.2.1-r0.apk 23-Sep-2025 22:32 5081
readosm-1.1.0-r3.apk 14-May-2025 00:10 15K
readosm-dev-1.1.0-r3.apk 14-May-2025 00:10 20K
reap-0.2-r0.apk 13-Sep-2025 16:23 5157
reap-doc-0.2-r0.apk 13-Sep-2025 16:23 2730
reaver-wps-fork-t6x-1.6.6-r1.apk 25-Oct-2024 19:03 442K
recoll-1.43.9-r0.apk 28-Dec-2025 08:01 3M
recoll-dev-1.43.9-r0.apk 28-Dec-2025 08:01 55K
recoll-doc-1.43.9-r0.apk 28-Dec-2025 08:01 34K
recyclarr-7.5.2-r0.apk 13-Dec-2025 17:37 3M
recyclarr-doc-7.5.2-r0.apk 13-Dec-2025 17:37 2322
redhat-fonts-4.1.0-r1.apk 09-Aug-2025 16:28 809K
redlib-0.36.0-r0.apk 27-Oct-2025 08:46 3M
refind-0.14.2-r0.apk 25-Oct-2024 19:03 1M
refind-doc-0.14.2-r0.apk 25-Oct-2024 19:03 14K
refine-0.7.0-r0.apk 04-Jan-2026 14:48 36K
refine-lang-0.7.0-r0.apk 04-Jan-2026 14:48 32K
reg-0.16.1-r34.apk 17-Jan-2026 22:42 5M
regal-0.36.1-r2.apk 17-Jan-2026 22:42 12M
regal-bash-completion-0.36.1-r2.apk 17-Jan-2026 22:42 6249
regal-fish-completion-0.36.1-r2.apk 17-Jan-2026 22:42 4427
regal-zsh-completion-0.36.1-r2.apk 17-Jan-2026 22:42 4143
regclient-0.11.1-r1.apk 17-Jan-2026 22:42 14M
remake-1.5-r1.apk 25-Oct-2024 19:03 137K
remake-dev-1.5-r1.apk 25-Oct-2024 19:03 3001
remake-doc-1.5-r1.apk 25-Oct-2024 19:03 202K
remake-make-1.5-r1.apk 25-Oct-2024 19:03 1572
remco-0.12.5-r6.apk 17-Jan-2026 22:42 10M
remco-doc-0.12.5-r6.apk 17-Jan-2026 22:42 2309
remco-openrc-0.12.5-r6.apk 17-Jan-2026 22:42 1744
remind-caldav-0.8.0-r4.apk 25-Oct-2024 19:03 18K
remind-caldav-pyc-0.8.0-r4.apk 25-Oct-2024 19:03 6286
repgrep-0.15.0-r0.apk 25-Oct-2024 19:03 1M
repgrep-bash-completion-0.15.0-r0.apk 25-Oct-2024 19:03 1678
repgrep-doc-0.15.0-r0.apk 25-Oct-2024 19:03 6652
repgrep-fish-completion-0.15.0-r0.apk 25-Oct-2024 19:03 4247
repgrep-zsh-completion-0.15.0-r0.apk 25-Oct-2024 19:03 1687
repo-2.60-r0.apk 12-Dec-2025 19:49 17K
repo-doc-2.60-r0.apk 12-Dec-2025 19:49 40K
repowerd-2023.07-r3.apk 22-Feb-2025 14:39 854K
repowerd-openrc-2023.07-r3.apk 22-Feb-2025 14:39 1716
reprotest-0.7.32-r0.apk 02-Nov-2025 14:06 80K
reprotest-pyc-0.7.32-r0.apk 02-Nov-2025 14:06 103K
reredirect-0.3-r0.apk 25-Oct-2024 19:03 8944
reredirect-doc-0.3-r0.apk 25-Oct-2024 19:03 2905
rescrobbled-0.8.0-r0.apk 25-Jan-2026 23:23 648K
rescrobbled-doc-0.8.0-r0.apk 25-Jan-2026 23:23 14K
rescrobbled-openrc-0.8.0-r0.apk 25-Jan-2026 23:23 1724
resources-1.9.1-r1.apk 28-Jan-2026 10:59 2M
resources-lang-1.9.1-r1.apk 28-Jan-2026 10:59 211K
responder-3.1.7.0-r0.apk 14-Oct-2025 14:54 747K
restart-services-0.17.0-r0.apk 25-Oct-2024 19:03 12K
restart-services-doc-0.17.0-r0.apk 25-Oct-2024 19:03 6023
restic.mk-0.4.0-r0.apk 25-Oct-2024 19:03 2981
restinio-0.6.19-r1.apk 14-Dec-2024 21:23 1260
restinio-dev-0.6.19-r1.apk 14-Dec-2024 21:23 268K
rezolus-2.11.1-r3.apk 25-Oct-2024 19:03 886K
rezolus-doc-2.11.1-r3.apk 25-Oct-2024 19:03 3436
rezolus-openrc-2.11.1-r3.apk 25-Oct-2024 19:03 2120
rgrc-0.6.7-r0.apk 30-Jan-2026 01:09 647K
rgrc-bash-completion-0.6.7-r0.apk 30-Jan-2026 01:09 1886
rgrc-doc-0.6.7-r0.apk 30-Jan-2026 01:09 3964
rgrc-fish-completion-0.6.7-r0.apk 30-Jan-2026 01:09 1962
rgrc-validator-0.6.7-r0.apk 30-Jan-2026 01:09 548K
rgrc-zsh-completion-0.6.7-r0.apk 30-Jan-2026 01:09 1909
rgxg-0.1.2-r2.apk 25-Oct-2024 19:03 13K
rgxg-dev-0.1.2-r2.apk 25-Oct-2024 19:03 3609
rgxg-doc-0.1.2-r2.apk 25-Oct-2024 19:03 12K
rhasspy-nlu-0.4.0-r3.apk 25-Oct-2024 19:03 44K
rhasspy-nlu-pyc-0.4.0-r3.apk 25-Oct-2024 19:03 73K
ri-li-2.0.1-r1.apk 25-Oct-2024 19:03 18M
riemann-cli-0.8.0-r2.apk 25-Oct-2024 19:03 515K
rinetd-0.73-r0.apk 25-Oct-2024 19:03 14K
rinetd-doc-0.73-r0.apk 25-Oct-2024 19:03 16K
rinetd-openrc-0.73-r0.apk 25-Oct-2024 19:03 1758
rio-0.2.35-r0.apk 14-Nov-2025 21:57 10M
rio-doc-0.2.35-r0.apk 14-Nov-2025 21:57 2339
rio-terminfo-0.2.35-r0.apk 14-Nov-2025 21:57 3500
ripasso-cursive-0.7.0-r1.apk 17-Jan-2026 22:42 3M
river-bedload-0.1.1_git20250823-r0.apk 22-Sep-2025 01:17 137K
river-bedload-doc-0.1.1_git20250823-r0.apk 22-Sep-2025 01:17 2360
river-bedload-zsh-completion-0.1.1_git20250823-..> 22-Sep-2025 01:17 1917
river-luatile-0.1.4-r0.apk 19-May-2025 13:10 248K
river-shifttags-0.2.1-r1.apk 14-May-2025 00:10 6151
river-shifttags-doc-0.2.1-r1.apk 14-May-2025 00:10 2428
rivercarro-0.6.0-r0.apk 06-Oct-2025 21:15 152K
rivercarro-doc-0.6.0-r0.apk 06-Oct-2025 21:15 3177
rizin-0.8.1-r0.apk 30-Jun-2025 16:49 3M
rizin-cutter-2.4.1-r1.apk 07-Jan-2026 00:06 3M
rizin-cutter-dev-2.4.1-r1.apk 07-Jan-2026 00:06 103K
rizin-dev-0.8.1-r0.apk 30-Jun-2025 16:49 323K
rizin-doc-0.8.1-r0.apk 30-Jun-2025 16:49 19K
rizin-libs-0.8.1-r0.apk 30-Jun-2025 16:49 5M
rke-1.4.3-r21.apk 17-Jan-2026 22:42 21M
rke-doc-1.4.3-r21.apk 17-Jan-2026 22:42 3028
rmlint-2.10.2-r2.apk 25-Oct-2024 19:03 141K
rmlint-doc-2.10.2-r2.apk 25-Oct-2024 19:03 18K
rmlint-lang-2.10.2-r2.apk 25-Oct-2024 19:03 19K
rmlint-shredder-2.10.2-r2.apk 25-Oct-2024 19:03 96K
rmlint-shredder-pyc-2.10.2-r2.apk 25-Oct-2024 19:03 124K
rmtfs-1.1.1-r2.apk 15-Jan-2026 05:27 13K
rmtfs-doc-1.1.1-r2.apk 15-Jan-2026 05:27 2456
rmtfs-openrc-1.1.1-r2.apk 15-Jan-2026 05:27 2165
rmtfs-systemd-1.1.1-r2.apk 15-Jan-2026 05:27 1895
rmtfs-udev-1.1.1-r2.apk 15-Jan-2026 05:27 1708
rocm-cmake-6.4.3-r0.apk 18-Aug-2025 11:32 28K
rocm-cmake-doc-6.4.3-r0.apk 18-Aug-2025 11:32 2483
rocm-core-6.4.3-r0.apk 18-Aug-2025 11:32 7570
rocm-core-dev-6.4.3-r0.apk 18-Aug-2025 11:32 6746
rocm-core-doc-6.4.3-r0.apk 18-Aug-2025 11:32 2489
rofi-json-menu-0.2.0-r1.apk 25-Oct-2024 19:03 5114
rofi-pass-2.0.2-r2.apk 25-Oct-2024 19:03 9056
rofi-pass-doc-2.0.2-r2.apk 25-Oct-2024 19:03 5074
roll-2.6.1-r1.apk 13-Oct-2025 16:13 13K
roll-bash-completion-2.6.1-r1.apk 13-Oct-2025 16:13 1885
roll-doc-2.6.1-r1.apk 13-Oct-2025 16:13 11K
rollup-4.55.2-r0.apk 22-Jan-2026 23:42 1M
rollup-doc-4.55.2-r0.apk 22-Jan-2026 23:42 4360
ropgadget-7.7-r0.apk 31-Dec-2025 14:52 26K
ropgadget-pyc-7.7-r0.apk 31-Dec-2025 14:52 48K
rosdep-0.19.0-r6.apk 25-Oct-2024 19:03 66K
rosdep-pyc-0.19.0-r6.apk 25-Oct-2024 19:03 119K
rosenpass-0.2.2-r1.apk 01-Feb-2025 18:23 1M
rpg-cli-1.2.0-r0.apk 25-Oct-2024 19:03 586K
rpi-imager-1.9.0-r1.apk 24-Jul-2025 08:08 699K
rpi-imager-doc-1.9.0-r1.apk 24-Jul-2025 08:08 3183
rss-email-0.5.1-r0.apk 10-Aug-2025 11:21 2M
rss-email-doc-0.5.1-r0.apk 10-Aug-2025 11:21 6550
rsstail-2.2-r0.apk 22-Feb-2025 14:39 8891
rsstail-doc-2.2-r0.apk 22-Feb-2025 14:39 2824
rt5-5.0.8-r0.apk 15-Jun-2025 04:44 17M
rt6-6.0.1-r0.apk 12-Aug-2025 07:48 12M
rtl-power-fftw-20200601-r4.apk 25-Oct-2024 19:03 59K
rtl-power-fftw-doc-20200601-r4.apk 25-Oct-2024 19:03 8353
rtl8192eu-src-5.11.2.3_git20251220-r0.apk 10-Jan-2026 17:55 3M
rtl8812au-src-5.6.4.2_git20250530-r0.apk 31-May-2025 16:24 3M
rtl8821ce-src-6.16_git20250820-r0.apk 01-Sep-2025 07:03 4M
rtl88x2bu-src-5.13.1_git20230711-r0.apk 25-Oct-2024 19:03 4M
rtmidi-6.0.0-r0.apk 25-Oct-2024 19:03 29K
rtmidi-dev-6.0.0-r0.apk 25-Oct-2024 19:03 14K
rtptools-1.22-r2.apk 25-Oct-2024 19:03 28K
rtptools-doc-1.22-r2.apk 25-Oct-2024 19:03 13K
rtw89-src-7_p20230725-r0.apk 25-Oct-2024 19:03 759K
ruby-ansi-1.5.0-r0.apk 06-Jul-2025 19:16 26K
ruby-appraisal-2.5.0-r0.apk 25-May-2025 23:52 11K
ruby-appraisal-doc-2.5.0-r0.apk 25-May-2025 23:52 2364
ruby-benchmark-ips-2.14.0-r0.apk 25-May-2025 23:54 14K
ruby-benchmark-ips-doc-2.14.0-r0.apk 25-May-2025 23:54 2320
ruby-build-20250925-r0.apk 28-Sep-2025 15:45 93K
ruby-build-doc-20250925-r0.apk 28-Sep-2025 15:45 4907
ruby-build-runtime-20250925-r0.apk 28-Sep-2025 15:45 1338
ruby-byebug-13.0.0-r0.apk 17-Jan-2026 22:42 125K
ruby-coderay-1.1.3-r0.apk 25-May-2025 23:56 89K
ruby-coderay-doc-1.1.3-r0.apk 25-May-2025 23:56 2342
ruby-crack-1.0.1-r0.apk 21-Oct-2025 04:14 2381
ruby-crack-doc-1.0.1-r0.apk 21-Oct-2025 04:14 2303
ruby-dry-inflector-1.3.1-r0.apk 14-Jan-2026 18:51 8492
ruby-dry-inflector-doc-1.3.1-r0.apk 14-Jan-2026 18:51 2314
ruby-event_emitter-0.2.6-r0.apk 26-May-2025 00:00 3150
ruby-event_emitter-doc-0.2.6-r0.apk 26-May-2025 00:00 2320
ruby-facter-4.10.0-r0.apk 28-Jul-2025 02:04 218K
ruby-fast_gettext-3.1.0-r0.apk 25-May-2025 23:58 22K
ruby-hashdiff-1.2.1-r0.apk 21-Oct-2025 04:18 9171
ruby-hashdiff-doc-1.2.1-r0.apk 21-Oct-2025 04:18 2302
ruby-hoe-4.5.1-r0.apk 04-Jan-2026 00:57 29K
ruby-libguestfs-1.56.1-r0.apk 22-Jul-2025 22:16 106K
ruby-mail-2.9.0-r0.apk 24-Oct-2025 15:26 394K
ruby-minitest-autotest-1.2.1-r0.apk 10-Jan-2026 13:08 14K
ruby-minitest-focus-1.4.1-r0.apk 04-Jan-2026 00:58 6531
ruby-minitest-power_assert-0.3.1-r0.apk 06-Jul-2025 19:16 2278
ruby-minitest-proveit-1.0.0-r0.apk 06-Jul-2025 19:16 4879
ruby-minitest-reporters-1.7.1-r0.apk 06-Jul-2025 19:16 20K
ruby-minitest-server-1.0.9-r0.apk 21-Nov-2025 15:40 5520
ruby-path_expander-2.0.1-r0.apk 10-Jan-2026 05:04 6857
ruby-ruby-progressbar-1.13.0-r0.apk 06-Jul-2025 19:16 25K
ruff-lsp-0.0.62-r0.apk 11-Mar-2025 11:19 21K
ruff-lsp-pyc-0.0.62-r0.apk 11-Mar-2025 11:19 35K
runst-0.2.0-r0.apk 14-Nov-2025 23:27 2M
runst-doc-0.2.0-r0.apk 14-Nov-2025 23:27 8008
runu-0.12.3-r2.apk 17-Jan-2026 22:42 20M
ruri-3.9.3-r0.apk 27-Oct-2025 08:46 134K
ruri-doc-3.9.3-r0.apk 27-Oct-2025 08:46 2292
rust-script-0.36.0-r0.apk 06-Sep-2025 16:20 895K
rustdesk-server-1.1.10.3-r0.apk 25-Oct-2024 19:03 2M
rustdesk-server-openrc-1.1.10.3-r0.apk 25-Oct-2024 19:03 2300
rustic-0.9.3-r0.apk 25-Oct-2024 19:03 6M
rustic-bash-completion-0.9.3-r0.apk 25-Oct-2024 19:03 8817
rustic-fish-completion-0.9.3-r0.apk 25-Oct-2024 19:03 17K
rustic-zsh-completion-0.9.3-r0.apk 25-Oct-2024 19:03 13K
rustical-0.11.11-r0.apk 11-Jan-2026 13:58 5M
rustls-ffi-0.15.0-r0.apk 26-Dec-2025 22:37 1M
rustls-ffi-dev-0.15.0-r0.apk 26-Dec-2025 22:37 3M
rustscan-2.4.1-r0.apk 22-Dec-2025 02:54 2M
ruuvi-prometheus-0.1.9-r8.apk 17-Jan-2026 22:42 3M
ruuvi-prometheus-openrc-0.1.9-r8.apk 17-Jan-2026 22:42 1715
rvlprog-0.91-r2.apk 25-Oct-2024 19:03 28K
ry-0.5.2-r1.apk 25-Oct-2024 19:03 4730
ry-bash-completion-0.5.2-r1.apk 25-Oct-2024 19:03 1998
ry-zsh-completion-0.5.2-r1.apk 25-Oct-2024 19:03 2313
rygel-45.1-r0.apk 05-Jan-2026 15:35 767K
rygel-dev-45.1-r0.apk 05-Jan-2026 15:35 43K
rygel-doc-45.1-r0.apk 05-Jan-2026 15:35 9899
rygel-lang-45.1-r0.apk 05-Jan-2026 15:35 591K
rygel-systemd-45.1-r0.apk 05-Jan-2026 15:35 1784
s-dkim-sign-0.6.2-r0.apk 25-Oct-2024 19:03 54K
s-dkim-sign-doc-0.6.2-r0.apk 25-Oct-2024 19:03 8729
s-postgray-0.8.3-r0.apk 25-Oct-2024 19:03 45K
s-postgray-doc-0.8.3-r0.apk 25-Oct-2024 19:03 9813
s5cmd-2.3.0-r9.apk 17-Jan-2026 22:42 5M
s6-frontend-0.0.1.1-r0.apk 29-Jan-2026 18:16 25K
s6-frontend-doc-0.0.1.1-r0.apk 29-Jan-2026 18:16 23K
saait-0.8-r0.apk 25-Oct-2024 19:03 6976
saait-doc-0.8-r0.apk 25-Oct-2024 19:03 13K
sacc-1.07-r1.apk 12-Sep-2025 22:22 16K
sacc-doc-1.07-r1.apk 12-Sep-2025 22:22 2945
sandbar-0.2_git20250909-r0.apk 21-Dec-2025 14:37 14K
satellite-1.0.0-r34.apk 17-Jan-2026 22:42 2M
satellite-doc-1.0.0-r34.apk 17-Jan-2026 22:42 3100
satellite-openrc-1.0.0-r34.apk 17-Jan-2026 22:42 1947
sauerbraten-2020.12.29-r4.apk 22-Feb-2025 14:39 934M
sbase-0_git20210730-r3.apk 25-Oct-2024 19:03 118K
sbase-doc-0_git20210730-r3.apk 25-Oct-2024 19:03 58K
sblg-0.5.11-r0.apk 25-Oct-2024 19:03 41K
sblg-doc-0.5.11-r0.apk 25-Oct-2024 19:03 1M
sblim-sfcc-2.2.8-r3.apk 25-Oct-2024 19:03 51K
sblim-sfcc-dev-2.2.8-r3.apk 25-Oct-2024 19:03 22K
sblim-sfcc-doc-2.2.8-r3.apk 25-Oct-2024 19:03 35K
sblim-wbemcli-1.6.3-r1.apk 25-Oct-2024 19:03 99K
sblim-wbemcli-doc-1.6.3-r1.apk 25-Oct-2024 19:03 4637
sc-controller-0.5.5-r0.apk 14-Dec-2025 08:56 1M
sc-controller-pyc-0.5.5-r0.apk 14-Dec-2025 08:56 814K
sc-controller-udev-0.5.5-r0.apk 14-Dec-2025 08:56 2113
sc3-plugins-3.13.0-r2.apk 08-Feb-2025 23:48 10M
scalingo-1.30.0-r16.apk 17-Jan-2026 22:42 6M
scap-workbench-1.2.1-r3.apk 25-Oct-2024 19:03 230K
scap-workbench-doc-1.2.1-r3.apk 25-Oct-2024 19:03 2M
scenefx-0.4.1-r0.apk 20-Dec-2025 23:13 56K
scenefx-dev-0.4.1-r0.apk 20-Dec-2025 23:13 11K
scenefx-doc-0.4.1-r0.apk 20-Dec-2025 23:13 2330
schismtracker-20251014-r0.apk 24-Oct-2025 23:51 450K
schismtracker-doc-20251014-r0.apk 24-Oct-2025 23:51 6487
scooper-1.3-r1.apk 25-Oct-2024 19:03 496K
scooper-doc-1.3-r1.apk 25-Oct-2024 19:03 2648
scratch-1.4.0.7-r2.apk 09-Oct-2025 12:30 39M
scratch-doc-1.4.0.7-r2.apk 09-Oct-2025 12:30 2670
screenkey-1.5-r7.apk 22-Jul-2025 22:16 76K
screenkey-doc-1.5-r7.apk 22-Jul-2025 22:16 11K
screenkey-pyc-1.5-r7.apk 22-Jul-2025 22:16 73K
sct-2018.12.18-r1.apk 25-Oct-2024 19:03 3894
sdl3_image-3.2.6-r0.apk 07-Jan-2026 10:54 75K
sdl3_image-dev-3.2.6-r0.apk 07-Jan-2026 10:54 12K
sdl3_image-doc-3.2.6-r0.apk 07-Jan-2026 10:54 2169
sdparm-1.12-r1.apk 25-Oct-2024 19:03 148K
sdparm-doc-1.12-r1.apk 25-Oct-2024 19:03 19K
sdrangel-7.22.9-r0.apk 24-Oct-2025 20:31 70M
seaweedfs-3.93-r5.apk 17-Jan-2026 22:42 27M
seaweedfs-doc-3.93-r5.apk 17-Jan-2026 22:42 14K
seaweedfs-openrc-3.93-r5.apk 17-Jan-2026 22:42 1956
secsipidx-1.3.2-r18.apk 17-Jan-2026 22:42 3M
secsipidx-dev-1.3.2-r18.apk 17-Jan-2026 22:42 5M
secsipidx-libs-1.3.2-r18.apk 17-Jan-2026 22:42 3M
sedutil-1.15.1-r1.apk 25-Oct-2024 19:03 188K
sedutil-doc-1.15.1-r1.apk 25-Oct-2024 19:03 3137
semaphoreui-2.16.37-r2.apk 17-Jan-2026 22:42 16M
semaphoreui-bash-completion-2.16.37-r2.apk 17-Jan-2026 22:42 6242
semaphoreui-doc-2.16.37-r2.apk 17-Jan-2026 22:42 2371
semaphoreui-fish-completion-2.16.37-r2.apk 17-Jan-2026 22:42 4426
semaphoreui-openrc-2.16.37-r2.apk 17-Jan-2026 22:42 2141
semaphoreui-zsh-completion-2.16.37-r2.apk 17-Jan-2026 22:42 4135
sentinel-minipot-2.3.0-r1.apk 25-Oct-2024 19:03 45K
sentinel-minipot-openrc-2.3.0-r1.apk 25-Oct-2024 19:03 2660
sentinel-proxy-2.1.0-r1.apk 13-Jun-2025 14:12 43K
sentinel-proxy-dev-2.1.0-r1.apk 13-Jun-2025 14:12 4522
sentinel-proxy-openrc-2.1.0-r1.apk 13-Jun-2025 14:12 2298
sentrypeer-4.0.4-r0.apk 21-Mar-2025 16:21 2M
sentrypeer-doc-4.0.4-r0.apk 21-Mar-2025 16:21 3365
serialdv-1.1.5-r0.apk 24-Oct-2025 20:31 6518
serialdv-dev-1.1.5-r0.apk 24-Oct-2025 20:31 5476
serialdv-libs-1.1.5-r0.apk 24-Oct-2025 20:31 65K
serie-0.5.7-r0.apk 20-Jan-2026 22:11 959K
serie-doc-0.5.7-r0.apk 20-Jan-2026 22:11 8567
setroot-2.0.2-r1.apk 25-Oct-2024 19:03 12K
setroot-doc-2.0.2-r1.apk 25-Oct-2024 19:03 4551
sfizz-1.2.3-r1.apk 02-Oct-2025 15:30 2M
sfizz-dev-1.2.3-r1.apk 02-Oct-2025 15:30 15K
sfizz-doc-1.2.3-r1.apk 02-Oct-2025 15:30 3087
sflowtool-6.02-r0.apk 25-Oct-2024 19:03 40K
sflowtool-doc-6.02-r0.apk 25-Oct-2024 19:03 9581
sfwbar-1.0_beta161-r0.apk 31-Jul-2025 13:29 269K
sfwbar-doc-1.0_beta161-r0.apk 31-Jul-2025 13:29 27K
sgt-puzzles-0_git20230310-r2.apk 25-Oct-2024 19:03 3M
shadowsocks-libev-3.3.5-r4.apk 25-Oct-2024 19:03 219K
shadowsocks-libev-dev-3.3.5-r4.apk 25-Oct-2024 19:03 3555
shadowsocks-libev-doc-3.3.5-r4.apk 25-Oct-2024 19:03 28K
shc-4.0.3-r2.apk 25-Oct-2024 19:03 17K
shellinabox-2.21-r3.apk 25-Oct-2024 19:03 118K
shellinabox-doc-2.21-r3.apk 25-Oct-2024 19:03 19K
shellinabox-openrc-2.21-r3.apk 25-Oct-2024 19:03 3607
shine-3.1.1-r0.apk 25-Oct-2024 19:03 54K
shipments-0.3.0-r0.apk 25-Oct-2024 19:03 23K
shntool-3.0.10-r6.apk 17-Jan-2026 22:42 62K
shntool-doc-3.0.10-r6.apk 17-Jan-2026 22:42 10K
shutdown-clear-machine-id-1.0.0-r0.apk 25-Oct-2024 19:03 1854
sigil-2.7.0-r0.apk 18-Dec-2025 03:08 5M
sigil-lang-2.7.0-r0.apk 18-Dec-2025 03:08 3M
sigma-0.23.1-r1.apk 25-Oct-2024 19:03 237K
sigma-pyc-0.23.1-r1.apk 25-Oct-2024 19:03 340K
signal-desktop-7.85.0-r0.apk 17-Jan-2026 22:42 47M
sigrok-cli-0.7.2-r0.apk 25-Oct-2024 19:03 39K
sigrok-cli-doc-0.7.2-r0.apk 25-Oct-2024 19:03 8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk 25-Oct-2024 19:03 13K
silc-client-1.1.11-r18.apk 30-Jun-2025 08:08 860K
silc-client-doc-1.1.11-r18.apk 30-Jun-2025 08:08 82K
simavr-1.7-r1.apk 25-Oct-2024 19:03 94K
simavr-dev-1.7-r1.apk 25-Oct-2024 19:03 859K
simgear-2024.1.1-r0.apk 05-Mar-2025 00:17 2M
simgear-dev-2024.1.1-r0.apk 05-Mar-2025 00:17 404K
simh-3.11.1-r1.apk 25-Oct-2024 19:03 3M
simp1e-cursors-0_git20250312-r0.apk 13-Mar-2025 12:27 447K
simp1e-cursors-adw-0_git20250312-r0.apk 13-Mar-2025 12:27 478K
simp1e-cursors-adw-dark-0_git20250312-r0.apk 13-Mar-2025 12:27 482K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:27 485K
simp1e-cursors-adw-left-0_git20250312-r0.apk 13-Mar-2025 12:27 483K
simp1e-cursors-breeze-0_git20250312-r0.apk 13-Mar-2025 12:27 504K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk 13-Mar-2025 12:27 520K
simp1e-cursors-breeze-dark-left-0_git20250312-r..> 13-Mar-2025 12:27 526K
simp1e-cursors-breeze-left-0_git20250312-r0.apk 13-Mar-2025 12:27 509K
simp1e-cursors-catppuccin-frappe-0_git20250312-..> 13-Mar-2025 12:27 535K
simp1e-cursors-catppuccin-frappe-left-0_git2025..> 13-Mar-2025 12:27 547K
simp1e-cursors-catppuccin-latte-0_git20250312-r..> 13-Mar-2025 12:27 528K
simp1e-cursors-catppuccin-latte-left-0_git20250..> 13-Mar-2025 12:27 537K
simp1e-cursors-catppuccin-macchiato-0_git202503..> 13-Mar-2025 12:27 533K
simp1e-cursors-catppuccin-macchiato-left-0_git2..> 13-Mar-2025 12:27 544K
simp1e-cursors-catppuccin-mocha-0_git20250312-r..> 13-Mar-2025 12:27 530K
simp1e-cursors-catppuccin-mocha-left-0_git20250..> 13-Mar-2025 12:27 540K
simp1e-cursors-dark-0_git20250312-r0.apk 13-Mar-2025 12:27 484K
simp1e-cursors-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:27 490K
simp1e-cursors-doc-0_git20250312-r0.apk 13-Mar-2025 12:27 14K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk 13-Mar-2025 12:27 525K
simp1e-cursors-gruvbox-dark-left-0_git20250312-..> 13-Mar-2025 12:27 537K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk 13-Mar-2025 12:27 517K
simp1e-cursors-gruvbox-light-left-0_git20250312..> 13-Mar-2025 12:27 527K
simp1e-cursors-left-0_git20250312-r0.apk 13-Mar-2025 12:27 449K
simp1e-cursors-mix-dark-0_git20250312-r0.apk 13-Mar-2025 12:27 487K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:27 492K
simp1e-cursors-mix-light-0_git20250312-r0.apk 13-Mar-2025 12:27 450K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk 13-Mar-2025 12:27 451K
simp1e-cursors-nord-dark-0_git20250312-r0.apk 13-Mar-2025 12:27 536K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk 13-Mar-2025 12:27 549K
simp1e-cursors-nord-light-0_git20250312-r0.apk 13-Mar-2025 12:27 518K
simp1e-cursors-nord-light-left-0_git20250312-r0..> 13-Mar-2025 12:27 525K
simp1e-cursors-rose-pine-0_git20250312-r0.apk 13-Mar-2025 12:27 533K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk 13-Mar-2025 12:27 538K
simp1e-cursors-rose-pine-dawn-left-0_git2025031..> 13-Mar-2025 12:27 551K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk 13-Mar-2025 12:27 545K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk 13-Mar-2025 12:27 538K
simp1e-cursors-rose-pine-moon-left-0_git2025031..> 13-Mar-2025 12:27 550K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk 13-Mar-2025 12:27 524K
simp1e-cursors-solarized-dark-left-0_git2025031..> 13-Mar-2025 12:27 535K
simp1e-cursors-solarized-light-0_git20250312-r0..> 13-Mar-2025 12:27 531K
simp1e-cursors-solarized-light-left-0_git202503..> 13-Mar-2025 12:27 542K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk 13-Mar-2025 12:27 530K
simp1e-cursors-tokyo-night-left-0_git20250312-r..> 13-Mar-2025 12:27 541K
simp1e-cursors-tokyo-night-light-0_git20250312-..> 13-Mar-2025 12:27 520K
simp1e-cursors-tokyo-night-light-left-0_git2025..> 13-Mar-2025 12:27 527K
simp1e-cursors-tokyo-night-storm-0_git20250312-..> 13-Mar-2025 12:27 534K
simp1e-cursors-tokyo-night-storm-left-0_git2025..> 13-Mar-2025 12:27 546K
simp1e-cursors-zenburn-0_git20250312-r0.apk 13-Mar-2025 12:27 529K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk 13-Mar-2025 12:27 540K
simpleble-0.10.4-r0.apk 13-Jan-2026 03:12 1259
simpleble-dev-0.10.4-r0.apk 13-Jan-2026 03:12 30K
simpleiot-0.18.3-r6.apk 17-Jan-2026 22:42 11M
sipexer-1.2.0-r9.apk 17-Jan-2026 22:42 3M
sipgrep-2.2.0-r1.apk 25-Oct-2024 19:03 25K
siril-1.2.6-r5.apk 27-Sep-2025 21:15 3M
siril-doc-1.2.6-r5.apk 27-Sep-2025 21:15 18K
siril-lang-1.2.6-r5.apk 27-Sep-2025 21:15 2M
sish-2.16.1-r11.apk 17-Jan-2026 22:42 8M
sish-openrc-2.16.1-r11.apk 17-Jan-2026 22:42 1954
sloccount-2.26-r3.apk 25-Oct-2024 19:03 62K
sloccount-doc-2.26-r3.apk 25-Oct-2024 19:03 59K
slurm-0.4.4-r0.apk 25-Oct-2024 19:03 14K
slurm-doc-0.4.4-r0.apk 25-Oct-2024 19:03 2315
sly-1.0.0-r4.apk 16-Nov-2025 17:55 4M
smassh-3.1.6-r0.apk 21-Nov-2024 02:57 72K
smassh-pyc-3.1.6-r0.apk 21-Nov-2024 02:57 70K
smb4k-4.0.5-r0.apk 11-Jan-2026 02:37 2M
smb4k-doc-4.0.5-r0.apk 11-Jan-2026 02:37 5M
smile-2.11.0-r0.apk 21-Dec-2025 11:40 873K
smile-lang-2.11.0-r0.apk 21-Dec-2025 11:40 28K
smplxmpp-0.9.3-r5.apk 12-Oct-2025 12:09 146K
smplxmpp-doc-0.9.3-r5.apk 12-Oct-2025 12:09 25K
snapper-0.13.0-r0.apk 16-Sep-2025 18:45 951K
snapper-bash-completion-0.13.0-r0.apk 16-Sep-2025 18:45 3451
snapper-dev-0.13.0-r0.apk 16-Sep-2025 18:45 11K
snapper-doc-0.13.0-r0.apk 16-Sep-2025 18:45 25K
snapper-lang-0.13.0-r0.apk 16-Sep-2025 18:45 219K
snapper-zsh-completion-0.13.0-r0.apk 16-Sep-2025 18:45 3650
snapraid-13.0-r0.apk 01-Nov-2025 00:21 284K
snapraid-doc-13.0-r0.apk 01-Nov-2025 00:21 19K
snapweb-0.9.2-r0.apk 06-Oct-2025 21:15 476K
snippets-ls-0.0.4_git20240617-r11.apk 17-Jan-2026 22:42 1M
snore-0.3.1-r0.apk 25-Oct-2024 19:03 4538
snore-doc-0.3.1-r0.apk 25-Oct-2024 19:03 3142
so-0.4.10-r0.apk 25-Oct-2024 19:03 2M
soapy-bladerf-0.4.2-r0.apk 31-Dec-2024 10:53 47K
solanum-6.0.0-r0.apk 10-Apr-2025 13:52 249K
solanum-lang-6.0.0-r0.apk 10-Apr-2025 13:52 47K
solarus-engine-1.7.0-r2.apk 20-Jul-2025 19:28 2M
solarus-engine-doc-1.7.0-r2.apk 20-Jul-2025 19:28 3430
solarus-quest-editor-1.7.0-r1.apk 22-Feb-2025 14:39 55M
somebar-1.0.3-r0.apk 25-Oct-2024 19:03 43K
somebar-doc-1.0.3-r0.apk 25-Oct-2024 19:03 2481
sonar-scanner-7.1.0.4889-r0.apk 04-May-2025 23:13 11M
sonarr-4.0.16.2944-r0.apk 17-Nov-2025 14:44 28M
sonarr-openrc-4.0.16.2944-r0.apk 17-Nov-2025 14:44 2092
sonicradio-0.8.12-r1.apk 17-Jan-2026 22:42 5M
sopwith-2.5.0-r0.apk 25-Oct-2024 19:03 47K
sopwith-doc-2.5.0-r0.apk 25-Oct-2024 19:03 15K
sos-0.8-r37.apk 17-Jan-2026 22:42 3M
soundconverter-4.1.1-r0.apk 29-Jul-2025 13:12 165K
soundconverter-doc-4.1.1-r0.apk 29-Jul-2025 13:12 4831
soundconverter-lang-4.1.1-r0.apk 29-Jul-2025 13:12 107K
soundconverter-pyc-4.1.1-r0.apk 29-Jul-2025 13:12 77K
sourcegit-8.33-r0.apk 25-Oct-2024 19:03 26M
spacectl-1.12.0-r7.apk 17-Jan-2026 22:42 6M
spacectl-bash-completion-1.12.0-r7.apk 17-Jan-2026 22:42 2098
spacectl-doc-1.12.0-r7.apk 17-Jan-2026 22:42 2339
spacectl-fish-completion-1.12.0-r7.apk 17-Jan-2026 22:42 7226
spacectl-zsh-completion-1.12.0-r7.apk 17-Jan-2026 22:42 1855
spacenavd-1.3.1-r0.apk 09-Jan-2026 16:03 31K
spark-2.8.3-r1.apk 25-Oct-2024 19:03 29M
speedcrunch-0.12-r3.apk 25-Oct-2024 19:03 1M
speedtest-5.2.5-r1.apk 25-Oct-2024 19:03 253K
speedtest-doc-5.2.5-r1.apk 25-Oct-2024 19:03 18K
speedtest-examples-5.2.5-r1.apk 25-Oct-2024 19:03 13K
speedtest-go-1.1.5-r21.apk 17-Jan-2026 22:42 6M
speedtest-go-doc-1.1.5-r21.apk 17-Jan-2026 22:42 4618
speedtest-go-openrc-1.1.5-r21.apk 17-Jan-2026 22:42 1777
speedtest_exporter-0.3.2-r21.apk 17-Jan-2026 22:42 4M
speedtest_exporter-openrc-0.3.2-r21.apk 17-Jan-2026 22:42 1899
spice-html5-0.3.0-r1.apk 25-Oct-2024 19:03 438K
spike-1.1.0-r0.apk 25-Oct-2024 19:03 1M
spiped-1.6.4-r0.apk 04-Dec-2025 22:48 82K
splitter-0.4.1-r1.apk 15-Jul-2025 04:09 456K
splitter-doc-0.4.1-r1.apk 15-Jul-2025 04:09 3919
spnavcfg-1.3-r0.apk 09-Jan-2026 23:44 38K
sponskrub-3.7.2-r9.apk 07-Jun-2025 09:13 184K
spotify-player-0.20.4-r0.apk 02-Mar-2025 15:55 4M
spotify-tui-0.25.0-r2.apk 25-Oct-2024 19:03 2M
spread-sheet-widget-0.10-r0.apk 25-Oct-2024 19:03 44K
spread-sheet-widget-dbg-0.10-r0.apk 25-Oct-2024 19:03 193K
spread-sheet-widget-dev-0.10-r0.apk 25-Oct-2024 19:03 363K
spread-sheet-widget-doc-0.10-r0.apk 25-Oct-2024 19:03 4749
spreadtrum_flash-1.20240815-r0.apk 10-May-2025 03:12 36K
sqlar-0_git20180107-r1.apk 25-Oct-2024 19:03 13K
sqlar-doc-0_git20180107-r1.apk 25-Oct-2024 19:03 3387
sqliteodbc-0.99991-r0.apk 25-Oct-2024 19:03 87K
sqlmap-1.10-r0.apk 12-Jan-2026 14:00 7M
sqlmap-pyc-1.10-r0.apk 12-Jan-2026 14:00 1M
sqm-scripts-1.6.0-r0.apk 25-Oct-2024 19:03 20K
sqruff-0.25.26-r0.apk 13-Apr-2025 21:40 2M
sqruff-doc-0.25.26-r0.apk 13-Apr-2025 21:40 8851
squeak-vm-4.10.2.2614-r2.apk 09-Oct-2025 12:30 581K
squeak-vm-doc-4.10.2.2614-r2.apk 09-Oct-2025 12:30 12K
srain-1.8.1-r0.apk 27-Jun-2025 10:32 161K
srain-lang-1.8.1-r0.apk 27-Jun-2025 10:32 35K
srb2-2.2.15-r2.apk 20-Dec-2025 15:23 2M
srb2-data-2.2.15-r2.apk 20-Dec-2025 15:23 160M
sregex-0.0.1-r1.apk 25-Oct-2024 19:03 25K
sregex-dev-0.0.1-r1.apk 25-Oct-2024 19:03 30K
ssdfs-tools-4.09-r0.apk 25-Oct-2024 19:03 94K
ssdfs-tools-dev-4.09-r0.apk 25-Oct-2024 19:03 18K
ssh-get-id-0.2.0-r1.apk 17-Jan-2026 22:42 2M
ssh-get-id-doc-0.2.0-r1.apk 17-Jan-2026 22:42 2324
ssh-honeypot-0.1.1-r1.apk 25-Oct-2024 19:03 9092
ssh-honeypot-openrc-0.1.1-r1.apk 25-Oct-2024 19:03 2106
ssh-studio-1.3.1-r0.apk 12-Oct-2025 20:35 202K
ssh-studio-lang-1.3.1-r0.apk 12-Oct-2025 20:35 1918
ssh-studio-pyc-1.3.1-r0.apk 12-Oct-2025 20:35 107K
ssh-tools-1.8-r0.apk 25-Oct-2024 19:03 26K
sshm-1.10.0-r1.apk 17-Jan-2026 22:42 4M
sshm-doc-1.10.0-r1.apk 17-Jan-2026 22:42 2302
sshs-4.7.2-r0.apk 23-Apr-2025 22:26 719K
sshsrv-1.0-r18.apk 17-Jan-2026 22:42 1M
sshuttle-1.3.2-r0.apk 29-Jan-2026 15:54 72K
sshuttle-doc-1.3.2-r0.apk 29-Jan-2026 15:54 8920
sshuttle-pyc-1.3.2-r0.apk 29-Jan-2026 15:54 124K
sssd-2.11.1-r2.apk 20-Nov-2025 22:18 2M
sssd-dev-2.11.1-r2.apk 20-Nov-2025 22:18 15K
sssd-openrc-2.11.1-r2.apk 20-Nov-2025 22:18 1716
ssss-0.5.7-r0.apk 25-Oct-2024 19:03 12K
ssss-doc-0.5.7-r0.apk 25-Oct-2024 19:03 3419
sstp-client-1.0.20-r3.apk 24-Oct-2025 14:48 38K
sstp-client-dev-1.0.20-r3.apk 24-Oct-2025 14:48 5356
sstp-client-doc-1.0.20-r3.apk 24-Oct-2025 14:48 4982
stacker-1.1.3-r2.apk 17-Jan-2026 22:42 30M
stacker-doc-1.1.3-r2.apk 17-Jan-2026 22:42 16K
stalwart-cli-0.15.4-r3.apk 22-Jan-2026 03:09 2M
stalwart-mail-0.15.4-r3.apk 22-Jan-2026 03:09 18M
stalwart-mail-openrc-0.15.4-r3.apk 22-Jan-2026 03:09 2115
stam-0.12.2-r0.apk 22-Sep-2025 12:09 2M
starfighter-2.4-r0.apk 25-Oct-2024 19:03 48M
starfighter-doc-2.4-r0.apk 25-Oct-2024 19:03 22K
startup-2.0.3-r5.apk 25-Oct-2024 19:03 442K
startup-bridge-dconf-2.0.3-r5.apk 25-Oct-2024 19:03 32K
startup-bridge-udev-2.0.3-r5.apk 25-Oct-2024 19:03 32K
startup-dev-2.0.3-r5.apk 25-Oct-2024 19:03 5986
startup-doc-2.0.3-r5.apk 25-Oct-2024 19:03 48K
startup-fish-completion-2.0.3-r5.apk 25-Oct-2024 19:03 5512
startup-lang-2.0.3-r5.apk 25-Oct-2024 19:03 17K
startup-tools-2.0.3-r5.apk 25-Oct-2024 19:03 13K
stayrtr-0.6.3-r2.apk 17-Jan-2026 22:42 11M
stayrtr-openrc-0.6.3-r2.apk 17-Jan-2026 22:42 2023
steamguard-cli-0.17.1-r0.apk 10-Jul-2025 22:02 3M
steamguard-cli-bash-completion-0.17.1-r0.apk 10-Jul-2025 22:02 2964
steamguard-cli-zsh-completion-0.17.1-r0.apk 10-Jul-2025 22:02 4325
steghide-0.5.1.1-r0.apk 25-Oct-2024 19:03 146K
steghide-doc-0.5.1.1-r0.apk 25-Oct-2024 19:03 14K
stern-1.33.0-r2.apk 17-Jan-2026 22:42 19M
stern-bash-completion-1.33.0-r2.apk 17-Jan-2026 22:42 5978
stern-fish-completion-1.33.0-r2.apk 17-Jan-2026 22:42 4418
stern-zsh-completion-1.33.0-r2.apk 17-Jan-2026 22:42 4137
stgit-2.4.7-r1.apk 25-Oct-2024 19:03 2M
stgit-bash-completion-2.4.7-r1.apk 25-Oct-2024 19:03 17K
stgit-doc-2.4.7-r1.apk 25-Oct-2024 19:03 126K
stgit-emacs-2.4.7-r1.apk 25-Oct-2024 19:03 28K
stgit-fish-completion-2.4.7-r1.apk 25-Oct-2024 19:03 12K
stgit-vim-2.4.7-r1.apk 25-Oct-2024 19:03 3539
stgit-zsh-completion-2.4.7-r1.apk 25-Oct-2024 19:03 24K
sthttpd-2.27.1-r2.apk 25-Oct-2024 19:03 57K
sthttpd-doc-2.27.1-r2.apk 25-Oct-2024 19:03 18K
sthttpd-openrc-2.27.1-r2.apk 25-Oct-2024 19:03 1997
stockfish-17-r0.apk 03-Mar-2025 22:40 62M
stone-soup-0.33.1-r0.apk 26-Dec-2025 14:50 30M
sturmreader-3.7.2-r2.apk 06-Sep-2025 16:20 1013K
sturmreader-lang-3.7.2-r2.apk 06-Sep-2025 16:20 39K
stw-0.3-r0.apk 25-Oct-2024 19:03 8305
stw-doc-0.3-r0.apk 25-Oct-2024 19:03 2592
sublime-music-0.12.0-r1.apk 25-Oct-2024 19:03 190K
sublime-music-pyc-0.12.0-r1.apk 25-Oct-2024 19:03 302K
subliminal-2.4.0-r0.apk 24-Nov-2025 01:06 90K
subliminal-pyc-2.4.0-r0.apk 24-Nov-2025 01:06 179K
subtitleeditor-0.55.0-r0.apk 20-Nov-2025 22:18 2M
subtitleeditor-dev-0.55.0-r0.apk 20-Nov-2025 22:18 1594
subtitleeditor-doc-0.55.0-r0.apk 20-Nov-2025 22:18 2946
sudo-ldap-1.9.17_p1-r0.apk 01-Jul-2025 18:58 807K
supercollider-3.14.1-r0.apk 09-Jan-2026 16:03 8M
supercollider-dev-3.14.1-r0.apk 09-Jan-2026 16:03 40K
supermin-5.2.2-r2.apk 25-Oct-2024 19:03 507K
supermin-doc-5.2.2-r2.apk 25-Oct-2024 19:03 9588
supersonik-0.1.0-r3.apk 04-Dec-2025 22:48 1M
surf-2.1-r3.apk 25-Oct-2024 19:03 21K
surf-doc-2.1-r3.apk 25-Oct-2024 19:03 4747
surfraw-2.3.0-r0.apk 25-Oct-2024 19:03 79K
surfraw-doc-2.3.0-r0.apk 25-Oct-2024 19:03 18K
suru-icon-theme-2025.05.0-r0.apk 10-May-2025 03:12 3M
svgbob-0.7.6-r0.apk 02-Feb-2025 00:32 452K
svls-0.2.14-r0.apk 13-Dec-2025 02:58 3M
svls-doc-0.2.14-r0.apk 13-Dec-2025 02:58 2282
svt-hevc-1.5.1-r2.apk 25-Oct-2024 19:03 31K
svt-hevc-dev-1.5.1-r2.apk 25-Oct-2024 19:03 10K
svt-hevc-doc-1.5.1-r2.apk 25-Oct-2024 19:03 5101
svt-hevc-libs-1.5.1-r2.apk 25-Oct-2024 19:03 733K
svt-vp9-0.3.1-r0.apk 20-Dec-2025 22:51 16K
svt-vp9-dev-0.3.1-r0.apk 20-Dec-2025 22:51 7450
svt-vp9-doc-0.3.1-r0.apk 20-Dec-2025 22:51 4858
svt-vp9-libs-0.3.1-r0.apk 20-Dec-2025 22:51 590K
swaks-20240103.0-r0.apk 25-Oct-2024 19:03 66K
swaks-doc-20240103.0-r0.apk 25-Oct-2024 19:03 50K
swappy-1.7.1-r0.apk 21-Aug-2025 23:29 28K
swappy-doc-1.7.1-r0.apk 21-Aug-2025 23:29 3902
swappy-lang-1.7.1-r0.apk 21-Aug-2025 23:29 3883
sway-audio-idle-inhibit-0.1.2-r0.apk 25-Oct-2024 19:03 10K
swayhide-0.2.1-r2.apk 25-Oct-2024 19:03 255K
swhkd-1.2.1-r0.apk 25-Oct-2024 19:03 1M
swhkd-doc-1.2.1-r0.apk 25-Oct-2024 19:03 6320
swi-prolog-9.2.9-r0.apk 21-Dec-2024 10:09 5M
swi-prolog-doc-9.2.9-r0.apk 21-Dec-2024 10:09 2M
swi-prolog-pyc-9.2.9-r0.apk 21-Dec-2024 10:09 22K
swi-prolog-xpce-9.2.9-r0.apk 21-Dec-2024 10:09 922K
swi-prolog-xpce-doc-9.2.9-r0.apk 21-Dec-2024 10:09 1M
switcheroo-control-3.0-r0.apk 28-Jan-2026 21:26 14K
switcheroo-control-doc-3.0-r0.apk 28-Jan-2026 21:26 26K
switcheroo-control-systemd-3.0-r0.apk 28-Jan-2026 21:26 1965
switcheroo-control-udev-3.0-r0.apk 28-Jan-2026 21:26 3475
sxcs-1.1.0-r0.apk 25-Oct-2024 19:03 8282
sxcs-doc-1.1.0-r0.apk 25-Oct-2024 19:03 2701
syd-tui-0.2.2-r0.apk 07-Dec-2025 23:40 393K
sydbox-3.45.2-r0.apk 03-Dec-2025 02:46 5M
sydbox-doc-3.45.2-r0.apk 03-Dec-2025 02:46 165K
sydbox-oci-3.45.2-r0.apk 03-Dec-2025 02:46 3M
sydbox-syd-3.45.2-r0.apk 03-Dec-2025 02:46 2M
sydbox-test-3.45.2-r0.apk 03-Dec-2025 02:46 2M
sydbox-utils-3.45.2-r0.apk 03-Dec-2025 02:46 6M
sydbox-vim-3.45.2-r0.apk 03-Dec-2025 02:46 7387
symbiyosys-0.36-r0.apk 25-Oct-2024 19:03 38K
symengine-0.12.0-r0.apk 25-Oct-2024 19:03 3M
symlinks-1.4.3-r0.apk 21-Apr-2025 21:27 5940
symlinks-doc-1.4.3-r0.apk 21-Apr-2025 21:27 3942
synapse-bt-1.0-r4.apk 25-Oct-2024 19:03 1M
synapse-bt-cli-1.0-r4.apk 25-Oct-2024 19:03 995K
synapse-bt-openrc-1.0-r4.apk 25-Oct-2024 19:03 1864
syncthing-gtk-0.9.4.5-r2.apk 25-Oct-2024 19:03 440K
syncthing-gtk-doc-0.9.4.5-r2.apk 25-Oct-2024 19:03 2256
syncthing-gtk-pyc-0.9.4.5-r2.apk 25-Oct-2024 19:03 221K
syncwhen-0.3-r0.apk 01-Nov-2025 17:26 5415
syncwhen-systemd-0.3-r0.apk 01-Nov-2025 17:26 1817
t2sz-1.1.2-r0.apk 25-Oct-2024 19:03 9062
tabiew-0.11.0-r0.apk 30-Jul-2025 07:46 13M
tachyon-0.99_beta6-r2.apk 10-Dec-2025 18:29 102K
tachyon-scenes-0.99_beta6-r2.apk 10-Dec-2025 18:29 2M
tailspin-5.5.0-r0.apk 28-Oct-2025 06:57 1M
tailspin-bash-completion-5.5.0-r0.apk 28-Oct-2025 06:57 2295
tailspin-doc-5.5.0-r0.apk 28-Oct-2025 06:57 3078
tailspin-fish-completion-5.5.0-r0.apk 28-Oct-2025 06:57 2162
tailspin-zsh-completion-5.5.0-r0.apk 28-Oct-2025 06:57 2520
tang-15-r0.apk 20-Jan-2025 04:17 15K
tang-dbg-15-r0.apk 20-Jan-2025 04:17 32K
tang-doc-15-r0.apk 20-Jan-2025 04:17 21K
tang-openrc-15-r0.apk 20-Jan-2025 04:17 1937
tangctl-0_git20241007-r10.apk 17-Jan-2026 22:43 3M
tanidvr-1.4.1-r2.apk 21-Mar-2025 16:21 21K
tanidvr-dhav2mkv-1.4.1-r2.apk 21-Mar-2025 16:21 11K
tanka-0.35.0-r2.apk 17-Jan-2026 22:43 7M
tartube-2.5.0-r2.apk 09-Dec-2025 12:12 3M
tartube-pyc-2.5.0-r2.apk 09-Dec-2025 12:12 1M
taskcafe-0.3.6-r19.apk 17-Jan-2026 22:43 14M
taskcafe-openrc-0.3.6-r19.apk 17-Jan-2026 22:43 1864
taskwarrior-tui-0.26.3-r0.apk 26-Mar-2025 10:24 1M
taskwarrior-tui-bash-completion-0.26.3-r0.apk 26-Mar-2025 10:24 2030
taskwarrior-tui-doc-0.26.3-r0.apk 26-Mar-2025 10:24 4038
taskwarrior-tui-fish-completion-0.26.3-r0.apk 26-Mar-2025 10:24 1777
tauri-cli-2.4.0-r0.apk 03-Apr-2025 00:12 7M
tayga-0.9.6-r0.apk 04-Jan-2026 14:16 29K
tayga-doc-0.9.6-r0.apk 04-Jan-2026 14:16 6108
tcl-curl-7.22.0-r0.apk 25-Oct-2024 19:03 32K
tcl-curl-doc-7.22.0-r0.apk 25-Oct-2024 19:03 38K
tcmalloc-2.17-r0.apk 05-Aug-2025 13:00 72K
tcmalloc-debug-2.17-r0.apk 05-Aug-2025 13:00 115K
tcmalloc-minimal-2.17-r0.apk 05-Aug-2025 13:00 55K
tcmalloc-minimal-debug-2.17-r0.apk 05-Aug-2025 13:00 102K
tcmalloc-profiler-2.17-r0.apk 05-Aug-2025 13:00 80K
tcmu-runner-1.6.0-r6.apk 25-Oct-2024 19:03 82K
tcmu-runner-doc-1.6.0-r6.apk 25-Oct-2024 19:03 2575
tcmu-runner-rbd-1.6.0-r6.apk 25-Oct-2024 19:03 12K
tcpbench-3.00-r1.apk 12-Sep-2025 22:22 12K
tcpbench-doc-3.00-r1.apk 12-Sep-2025 22:22 5383
tdrop-0.5.0-r0.apk 25-Oct-2024 19:03 12K
tdrop-doc-0.5.0-r0.apk 25-Oct-2024 19:03 9066
tealdeer-1.8.0-r0.apk 28-Oct-2025 01:54 811K
tealdeer-bash-completion-1.8.0-r0.apk 28-Oct-2025 01:54 2077
tealdeer-fish-completion-1.8.0-r0.apk 28-Oct-2025 01:54 2327
tealdeer-zsh-completion-1.8.0-r0.apk 28-Oct-2025 01:54 2441
teapot-tools-0.4.2-r2.apk 25-Oct-2024 19:03 2M
telegram-bot-api-9.3-r0.apk 15-Jan-2026 14:17 8M
telegram-tdlib-1.8.60-r0.apk 15-Jan-2026 17:21 8M
telegram-tdlib-dev-1.8.60-r0.apk 15-Jan-2026 17:21 204K
telegram-tdlib-static-1.8.60-r0.apk 15-Jan-2026 17:21 22M
templ-0.3.960-r2.apk 17-Jan-2026 22:43 6M
tempo-2.10.0-r0.apk 27-Jan-2026 11:38 33M
tempo-cli-2.10.0-r0.apk 27-Jan-2026 11:38 24M
tempo-openrc-2.10.0-r0.apk 27-Jan-2026 11:38 1906
tempo-query-2.10.0-r0.apk 27-Jan-2026 11:38 7M
tempo-vulture-2.10.0-r0.apk 27-Jan-2026 11:38 19M
tempo-vulture-openrc-2.10.0-r0.apk 27-Jan-2026 11:38 2011
tenv-4.9.0-r2.apk 17-Jan-2026 22:43 10M
tenv-bash-completion-4.9.0-r2.apk 17-Jan-2026 22:43 6245
tenv-fish-completion-4.9.0-r2.apk 17-Jan-2026 22:43 4422
tenv-zsh-completion-4.9.0-r2.apk 17-Jan-2026 22:43 4139
tere-1.6.0-r0.apk 25-Oct-2024 19:03 1M
tere-doc-1.6.0-r0.apk 25-Oct-2024 19:03 14K
termbox-1.1.2-r1.apk 25-Oct-2024 19:03 11K
termbox-dev-1.1.2-r1.apk 25-Oct-2024 19:03 5875
termbox-static-1.1.2-r1.apk 25-Oct-2024 19:03 12K
termcolor-2.1.0-r0.apk 25-Oct-2024 19:03 1505
termcolor-dev-2.1.0-r0.apk 25-Oct-2024 19:03 7001
terminalpp-0.8.4-r0.apk 25-Oct-2024 19:03 411K
terminalpp-ropen-0.8.4-r0.apk 25-Oct-2024 19:03 56K
terminology-1.14.0-r0.apk 27-May-2025 22:49 3M
terminology-doc-1.14.0-r0.apk 27-May-2025 22:49 9209
terminology-lang-1.14.0-r0.apk 27-May-2025 22:49 143K
termusic-mpv-0.12.0-r0.apk 13-Oct-2025 01:41 10M
tetragon-client-1.1.2-r11.apk 17-Jan-2026 22:43 21M
tetragon-client-bash-completion-1.1.2-r11.apk 17-Jan-2026 22:43 5189
tetragon-client-fish-completion-1.1.2-r11.apk 17-Jan-2026 22:43 4427
tetragon-client-zsh-completion-1.1.2-r11.apk 17-Jan-2026 22:43 4145
texlab-5.25.0-r0.apk 30-Dec-2025 21:14 9M
texmaker-6.0.1-r0.apk 27-Jun-2025 10:32 12M
texstudio-4.9.1-r0.apk 15-Dec-2025 21:29 83M
tfupdate-0.8.2-r12.apk 17-Jan-2026 22:43 5M
tfupdate-doc-0.8.2-r12.apk 17-Jan-2026 22:43 2324
thanos-0.31.0-r19.apk 17-Jan-2026 22:43 22M
thanos-openrc-0.31.0-r19.apk 17-Jan-2026 22:43 1993
theforceengine-1.09.540-r2.apk 09-Jan-2026 20:19 7M
theforceengine-doc-1.09.540-r2.apk 09-Jan-2026 20:19 6M
thefuck-3.32-r5.apk 25-Oct-2024 19:03 83K
thefuck-pyc-3.32-r5.apk 25-Oct-2024 19:03 156K
thelounge-4.4.3-r0.apk 25-Oct-2024 19:03 28M
thelounge-doc-4.4.3-r0.apk 25-Oct-2024 19:03 2356
thelounge-openrc-4.4.3-r0.apk 25-Oct-2024 19:03 2085
theme.sh-1.1.5-r0.apk 25-Oct-2024 19:03 39K
theme.sh-doc-1.1.5-r0.apk 25-Oct-2024 19:03 2377
thermald-2.15.10-r0.apk 23-Oct-2025 08:12 172K
thermald-doc-2.15.10-r0.apk 23-Oct-2025 08:12 8863
thermald-openrc-2.15.10-r0.apk 23-Oct-2025 08:12 1807
throttled-0.10.0-r1.apk 15-Dec-2024 19:29 15K
throttled-openrc-0.10.0-r1.apk 15-Dec-2024 19:29 1642
throttled-pyc-0.10.0-r1.apk 15-Dec-2024 19:29 28K
thumbdrives-0.3.2-r2.apk 25-Oct-2024 19:03 11K
thunar-gtkhash-plugin-1.5-r0.apk 25-Oct-2024 19:03 23K
thunarx-python-0.5.2-r2.apk 25-Oct-2024 19:03 9515
thunarx-python-doc-0.5.2-r2.apk 25-Oct-2024 19:03 25K
tick-1.2.3-r0.apk 06-Oct-2025 06:21 10K
tick-doc-1.2.3-r0.apk 06-Oct-2025 06:21 5648
ticker-5.0.7-r8.apk 17-Jan-2026 22:43 4M
ticker-bash-completion-5.0.7-r8.apk 17-Jan-2026 22:43 6263
ticker-fish-completion-5.0.7-r8.apk 17-Jan-2026 22:43 4438
ticker-zsh-completion-5.0.7-r8.apk 17-Jan-2026 22:43 4156
timeshift-25.12.4-r0.apk 09-Jan-2026 16:03 471K
timeshift-doc-25.12.4-r0.apk 09-Jan-2026 16:03 3233
timeshift-lang-25.12.4-r0.apk 09-Jan-2026 16:03 1M
timew-1.4.3-r1.apk 25-Oct-2024 19:03 252K
timew-bash-completion-1.4.3-r1.apk 25-Oct-2024 19:03 2829
timew-doc-1.4.3-r1.apk 25-Oct-2024 19:03 53K
timewarrior-1.7.1-r0.apk 25-Oct-2024 19:03 262K
timewarrior-doc-1.7.1-r0.apk 25-Oct-2024 19:03 22K
timoni-0.23.0-r11.apk 17-Jan-2026 22:43 24M
timoni-bash-completion-0.23.0-r11.apk 17-Jan-2026 22:43 8151
timoni-doc-0.23.0-r11.apk 17-Jan-2026 22:43 338K
timoni-fish-completion-0.23.0-r11.apk 17-Jan-2026 22:43 4422
timoni-zsh-completion-0.23.0-r11.apk 17-Jan-2026 22:43 4137
tintin-2.02.51-r0.apk 24-Nov-2025 12:41 2M
tinyemu-2019.12.21-r0.apk 25-May-2025 23:35 158K
tinygltf-2.9.7-r0.apk 02-Nov-2025 20:50 143K
tinygltf-dev-2.9.7-r0.apk 02-Nov-2025 20:50 57K
tinymist-0.14.2-r0.apk 22-Nov-2025 16:11 20M
tinyscheme-1.42-r1.apk 25-Oct-2024 19:03 58K
tiptop-2.3.1-r2.apk 25-Oct-2024 19:03 34K
tiptop-doc-2.3.1-r2.apk 25-Oct-2024 19:03 7227
tldr-python-client-3.3.0-r0.apk 01-Dec-2024 17:09 12K
tldr-python-client-doc-3.3.0-r0.apk 01-Dec-2024 17:09 3588
tldr-python-client-pyc-3.3.0-r0.apk 01-Dec-2024 17:09 14K
tmate-2.4.0-r4.apk 25-Oct-2024 19:03 270K
tmate-doc-2.4.0-r4.apk 25-Oct-2024 19:03 72K
tmpl-0.4.0-r17.apk 17-Jan-2026 22:43 3M
tmpl-doc-0.4.0-r17.apk 17-Jan-2026 22:43 2306
tmpmail-1.2.3-r2.apk 25-Oct-2024 19:03 7193
tmpmail-doc-1.2.3-r2.apk 25-Oct-2024 19:03 3325
tmux-resurrect-4.0.0-r0.apk 25-Oct-2024 19:03 14K
tmux-resurrect-doc-4.0.0-r0.apk 25-Oct-2024 19:03 8593
tncattach-0.1.9-r1.apk 25-Oct-2024 19:03 22K
tncattach-doc-0.1.9-r1.apk 25-Oct-2024 19:03 4006
tnef-1.4.18-r0.apk 25-Oct-2024 19:03 25K
tnef-doc-1.4.18-r0.apk 25-Oct-2024 19:03 4323
toapk-1.0-r0.apk 25-Oct-2024 19:03 11K
today-6.2.1-r0.apk 17-Jun-2025 15:29 3256
today-doc-6.2.1-r0.apk 17-Jun-2025 15:29 3384
tofu-ls-0.2.0-r2.apk 17-Jan-2026 22:43 6M
tofu-ls-doc-0.2.0-r2.apk 17-Jan-2026 22:43 35K
tofutf-0.10.0-r11.apk 17-Jan-2026 22:43 1300
tofutf-agent-0.10.0-r11.apk 17-Jan-2026 22:43 9M
tofutf-agent-openrc-0.10.0-r11.apk 17-Jan-2026 22:43 2042
tofutf-cli-0.10.0-r11.apk 17-Jan-2026 22:43 9M
tofutf-server-0.10.0-r11.apk 17-Jan-2026 22:43 14M
tofutf-server-openrc-0.10.0-r11.apk 17-Jan-2026 22:43 2044
tomcat9-9.0.112-r0.apk 01-Dec-2025 20:49 7M
tomcat9-admin-9.0.112-r0.apk 01-Dec-2025 20:49 127K
tomcat9-doc-9.0.112-r0.apk 01-Dec-2025 20:49 2M
tomcat9-examples-9.0.112-r0.apk 01-Dec-2025 20:49 439K
tomcat9-openrc-9.0.112-r0.apk 01-Dec-2025 20:49 4713
toml2json-1.3.2-r0.apk 01-Sep-2025 13:13 348K
toml2json-doc-1.3.2-r0.apk 01-Sep-2025 13:13 3786
tonutils-reverse-proxy-0.4.6-r5.apk 17-Jan-2026 22:43 5M
tonutils-reverse-proxy-doc-0.4.6-r5.apk 17-Jan-2026 22:43 3306
topgit-0.19.13-r1.apk 25-Oct-2024 19:03 127K
topgit-bash-completion-0.19.13-r1.apk 25-Oct-2024 19:03 4123
topgit-doc-0.19.13-r1.apk 25-Oct-2024 19:03 73K
torrent-file-editor-0.3.18-r0.apk 25-Oct-2024 19:03 364K
toss-1.1-r1.apk 29-May-2025 12:00 11K
touchpad-emulator-0.3-r0.apk 26-May-2025 09:33 13K
toybox-0.8.13-r0.apk 16-Oct-2025 10:30 235K
tpm2-pkcs11-1.9.2-r0.apk 13-Dec-2025 16:07 130K
tpm2-pkcs11-dev-1.9.2-r0.apk 13-Dec-2025 16:07 1934
tpm2-pkcs11-pyc-1.9.2-r0.apk 13-Dec-2025 16:07 70K
tpp-bypass-0.8.4-r0.apk 25-Oct-2024 19:03 13K
tqm-1.18.0-r1.apk 17-Jan-2026 22:43 5M
trace-cmd-3.3.1-r1.apk 19-Jan-2025 14:15 164K
trace-cmd-bash-completion-3.3.1-r1.apk 19-Jan-2025 14:15 3429
trace-cmd-dbg-3.3.1-r1.apk 19-Jan-2025 14:15 508K
trace-cmd-doc-3.3.1-r1.apk 19-Jan-2025 14:15 171K
transito-0.10.0-r1.apk 17-Jan-2026 22:43 9M
transito-doc-0.10.0-r1.apk 17-Jan-2026 22:43 756K
transmission-remote-gtk-1.6.0-r0.apk 25-Oct-2024 19:03 145K
transmission-remote-gtk-doc-1.6.0-r0.apk 25-Oct-2024 19:03 4328
transmission-remote-gtk-lang-1.6.0-r0.apk 25-Oct-2024 19:03 106K
trantor-1.5.18-r0.apk 25-Oct-2024 19:03 229K
trantor-dev-1.5.18-r0.apk 25-Oct-2024 19:03 34K
trantor-doc-1.5.18-r0.apk 25-Oct-2024 19:03 2672
tre-0.8.0-r2.apk 25-Oct-2024 19:03 27K
tre-dev-0.8.0-r2.apk 25-Oct-2024 19:03 5327
tre-static-0.8.0-r2.apk 25-Oct-2024 19:03 28K
tree-sitter-caddy-0_git20230322-r0.apk 25-Oct-2024 19:03 70K
tree-sitter-caddy-doc-0_git20230322-r0.apk 25-Oct-2024 19:03 2346
tree-sitter-clojure-0.0.13-r0.apk 23-Jul-2025 11:59 23K
tree-sitter-dart-0_git20250228-r0.apk 11-Mar-2025 11:19 99K
tree-sitter-git-commit-0_git20211225-r4.apk 25-Jul-2025 22:58 13K
tree-sitter-git-diff-0_git20230730-r1.apk 25-Jul-2025 22:58 9993
tree-sitter-git-rebase-0_git20240722-r0.apk 11-Mar-2025 11:19 5203
tree-sitter-gleam-1.1.0-r0.apk 09-Oct-2025 07:23 63K
tree-sitter-hare-0_git20230616-r2.apk 22-Jul-2025 21:29 32K
tree-sitter-haskell-0.23.1-r0.apk 09-Jan-2025 16:06 284K
tree-sitter-hcl-1.2.0-r0.apk 19-Jun-2025 00:46 22K
tree-sitter-just-0_git20230318-r0.apk 25-Oct-2024 19:03 14K
tree-sitter-make-1.1.1-r0.apk 03-Jan-2026 20:54 38K
tree-sitter-pascal-0.9.1-r0.apk 25-Oct-2024 19:03 82K
tree-sitter-pascal-doc-0.9.1-r0.apk 25-Oct-2024 19:03 2322
tree-sitter-typst-0.11.0-r1.apk 04-Jan-2026 16:20 107K
treecat-1.0.2_git20240706-r1.apk 29-Nov-2024 13:19 112K
treecat-doc-1.0.2_git20240706-r1.apk 29-Nov-2024 13:19 3365
tremc-0.9.4-r0.apk 16-Jul-2025 04:23 53K
tremc-bash-completion-0.9.4-r0.apk 16-Jul-2025 04:23 1946
tremc-doc-0.9.4-r0.apk 16-Jul-2025 04:23 2892
tremc-zsh-completion-0.9.4-r0.apk 16-Jul-2025 04:23 1860
trigger-rally-0.6.7-r3.apk 25-Mar-2025 15:13 302K
trigger-rally-data-0.6.7-r3.apk 25-Mar-2025 15:13 352M
trigger-rally-doc-0.6.7-r3.apk 25-Mar-2025 15:13 28K
trippy-0.13.0-r0.apk 18-May-2025 23:20 2M
trippy-bash-completion-0.13.0-r0.apk 18-May-2025 23:20 3256
trippy-zsh-completion-0.13.0-r0.apk 18-May-2025 23:20 4964
trivy-0.68.2-r1.apk 17-Jan-2026 22:43 69M
tsung-1.8.0-r3.apk 13-Jun-2025 05:39 722K
ttfautohint-1.8.4-r0.apk 25-Oct-2024 19:03 27K
ttfautohint-dev-1.8.4-r0.apk 25-Oct-2024 19:03 153K
ttfautohint-doc-1.8.4-r0.apk 25-Oct-2024 19:03 8291
ttfautohint-gui-1.8.4-r0.apk 25-Oct-2024 19:03 57K
ttfautohint-libs-1.8.4-r0.apk 25-Oct-2024 19:03 102K
tty-clock-2.3_git20240104-r0.apk 25-Oct-2024 19:03 8457
tty-clock-doc-2.3_git20240104-r0.apk 25-Oct-2024 19:03 3197
tty-proxy-0.0.2-r34.apk 17-Jan-2026 22:43 3M
tty-share-2.4.0-r24.apk 17-Jan-2026 22:43 4M
ttynvt-0.17-r0.apk 22-Aug-2025 12:50 14K
ttyper-1.6.0-r0.apk 05-Feb-2025 21:45 596K
tui-journal-0.10.0-r0.apk 25-Oct-2024 19:03 2M
tui-journal-doc-0.10.0-r0.apk 25-Oct-2024 19:03 7060
tuios-0.6.0-r0.apk 26-Jan-2026 13:42 4M
tuios-doc-0.6.0-r0.apk 26-Jan-2026 13:42 7898
tup-0.7.11-r1.apk 19-Jun-2025 08:45 230K
tup-doc-0.7.11-r1.apk 19-Jun-2025 08:45 21K
tup-vim-0.7.11-r1.apk 19-Jun-2025 08:45 2578
tuptime-5.2.4-r2.apk 06-Sep-2025 16:20 14K
tuptime-doc-5.2.4-r2.apk 06-Sep-2025 16:20 3890
tuptime-openrc-5.2.4-r2.apk 06-Sep-2025 16:20 1792
turn-rs-3.4.0-r1.apk 12-Jun-2025 13:59 568K
turn-rs-doc-3.4.0-r1.apk 12-Jun-2025 13:59 11K
turn-rs-openrc-3.4.0-r1.apk 12-Jun-2025 13:59 2007
turnstile-0.1.10-r3.apk 25-Oct-2024 19:03 37K
turnstile-doc-0.1.10-r3.apk 25-Oct-2024 19:03 5838
turnstile-openrc-0.1.10-r3.apk 25-Oct-2024 19:03 1845
turntable-0.5.1-r0.apk 07-Jan-2026 00:06 248K
turntable-lang-0.5.1-r0.apk 07-Jan-2026 00:06 27K
tuwunel-1.4.9.1-r0.apk 01-Jan-2026 13:22 14M
tuwunel-openrc-1.4.9.1-r0.apk 01-Jan-2026 13:22 1997
twemproxy-0.5.0-r0.apk 25-Oct-2024 19:03 66K
twemproxy-doc-0.5.0-r0.apk 25-Oct-2024 19:03 17K
twiggy-0.6.0-r3.apk 25-Oct-2024 19:03 796K
twinkle-1.10.3-r3.apk 04-Jan-2025 21:55 2M
twinkle-doc-1.10.3-r3.apk 04-Jan-2025 21:55 3581
ty-0.0.4-r0.apk 21-Dec-2025 18:50 7M
ty-bash-completion-0.0.4-r0.apk 21-Dec-2025 18:50 2620
ty-fish-completion-0.0.4-r0.apk 21-Dec-2025 18:50 3259
ty-pyc-0.0.4-r0.apk 21-Dec-2025 18:50 3965
ty-zsh-completion-0.0.4-r0.apk 21-Dec-2025 18:50 3583
typlite-0.14.2-r0.apk 22-Nov-2025 16:11 15M
typobuster-1.0.0-r0.apk 23-Apr-2025 22:26 129K
typstyle-0.12.14-r0.apk 12-Jan-2025 14:14 505K
u1db-qt-0.1.8-r0.apk 25-Oct-2024 19:03 95K
uasm-2.56.2-r0.apk 25-Oct-2024 19:03 271K
ubase-20200605-r3.apk 25-Oct-2024 19:03 43K
ubase-doc-20200605-r3.apk 25-Oct-2024 19:03 21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk 25-Oct-2024 19:03 16K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk 25-Oct-2024 19:03 2340
ubus-2025.10.17-r0.apk 25-Oct-2025 12:57 34K
ubus-dev-2025.10.17-r0.apk 25-Oct-2025 12:57 5728
uclient-20251003-r0.apk 05-Jan-2026 19:39 17K
uclient-dev-20251003-r0.apk 05-Jan-2026 19:39 3626
uclient-fetch-20251003-r0.apk 05-Jan-2026 19:39 9999
udpt-3.1.2-r0.apk 25-Oct-2024 19:03 695K
udpt-openrc-3.1.2-r0.apk 25-Oct-2024 19:03 1849
ueberzug-18.3.1-r0.apk 22-Feb-2025 15:08 64K
ueberzug-pyc-18.3.1-r0.apk 22-Feb-2025 15:08 64K
ufw-docker-251123-r0.apk 04-Jan-2026 00:59 9810
ufw-docker-doc-251123-r0.apk 04-Jan-2026 00:59 14K
undock-0.10.0-r6.apk 17-Jan-2026 22:43 11M
unicorn-2.1.4-r0.apk 14-Oct-2025 14:38 7M
unicorn-dev-2.1.4-r0.apk 14-Oct-2025 14:38 9M
unit-php81-1.35.0-r1.apk 15-Sep-2025 15:03 32K
unit-php82-1.35.0-r0.apk 24-Oct-2025 14:48 32K
up-0.4-r35.apk 17-Jan-2026 22:43 1M
update-sysfs-2.1.1_p7-r0.apk 12-Nov-2025 10:44 3202
upterm-0.17.0-r2.apk 17-Jan-2026 22:43 7M
upterm-bash-completion-0.17.0-r2.apk 17-Jan-2026 22:43 5690
upterm-doc-0.17.0-r2.apk 17-Jan-2026 22:43 7222
upterm-server-0.17.0-r2.apk 17-Jan-2026 22:43 7M
upterm-server-openrc-0.17.0-r2.apk 17-Jan-2026 22:43 2003
upterm-zsh-completion-0.17.0-r2.apk 17-Jan-2026 22:43 4138
uranium-5.2.2-r3.apk 25-Oct-2024 19:03 596K
urlwatch-2.28-r2.apk 25-Oct-2024 19:03 49K
urlwatch-doc-2.28-r2.apk 25-Oct-2024 19:03 33K
urlwatch-pyc-2.28-r2.apk 25-Oct-2024 19:03 101K
usb-moded-notify-0.3.0-r0.apk 28-Dec-2025 18:16 3177
usb-moded-notify-systemd-0.3.0-r0.apk 28-Dec-2025 18:16 1823
usbtop-1.0-r0.apk 13-Apr-2025 21:32 13K
usql-0.19.26-r2.apk 17-Jan-2026 22:43 43M
ustr-1.0.4-r1.apk 25-Oct-2024 19:03 60K
ustr-debug-1.0.4-r1.apk 25-Oct-2024 19:03 78K
ustr-dev-1.0.4-r1.apk 25-Oct-2024 19:03 91K
ustr-doc-1.0.4-r1.apk 25-Oct-2024 19:03 97K
ustr-static-1.0.4-r1.apk 25-Oct-2024 19:03 165K
uucp-1.07-r6.apk 25-Oct-2024 19:03 487K
uucp-doc-1.07-r6.apk 25-Oct-2024 19:03 118K
uxn-1.0-r0.apk 25-Oct-2024 19:03 40K
uxn-doc-1.0-r0.apk 25-Oct-2024 19:03 4282
valent-1.0.0_alpha49-r0.apk 24-Dec-2025 18:31 347K
valent-dev-1.0.0_alpha49-r0.apk 24-Dec-2025 18:31 94K
valent-lang-1.0.0_alpha49-r0.apk 24-Dec-2025 18:31 39K
valent-libs-1.0.0_alpha49-r0.apk 24-Dec-2025 18:31 133K
vali-0.1.1-r0.apk 25-Jan-2026 18:59 30K
vali-dev-0.1.1-r0.apk 25-Jan-2026 18:59 4409
vals-0.42.4-r2.apk 17-Jan-2026 22:43 38M
varnish-modules-0.26.0-r0.apk 06-Jul-2025 19:16 40K
varnish-modules-doc-0.26.0-r0.apk 06-Jul-2025 19:16 22K
vcdimager-2.0.1-r5.apk 22-Jan-2025 10:33 475K
vcdimager-dev-2.0.1-r5.apk 22-Jan-2025 10:33 119K
vcdimager-doc-2.0.1-r5.apk 22-Jan-2025 10:33 74K
vcmi-1.7.1-r0.apk 31-Dec-2025 07:51 13M
vcsh-2.0.5-r0.apk 25-Oct-2024 19:03 9006
vcsh-bash-completion-2.0.5-r0.apk 25-Oct-2024 19:03 2993
vcsh-doc-2.0.5-r0.apk 25-Oct-2024 19:03 27K
vcsh-zsh-completion-2.0.5-r0.apk 25-Oct-2024 19:03 2998
vcstool-0.3.0-r5.apk 25-Oct-2024 19:03 35K
vcstool-bash-completion-0.3.0-r5.apk 25-Oct-2024 19:03 1801
vcstool-pyc-0.3.0-r5.apk 25-Oct-2024 19:03 58K
vcstool-tcsh-completion-0.3.0-r5.apk 25-Oct-2024 19:03 1675
vcstool-zsh-completion-0.3.0-r5.apk 25-Oct-2024 19:03 1767
vector-0.50.0-r0.apk 05-Oct-2025 19:20 24M
vector-doc-0.50.0-r0.apk 05-Oct-2025 19:20 5941
vector-openrc-0.50.0-r0.apk 05-Oct-2025 19:20 2240
vectoroids-1.1.0-r2.apk 25-Oct-2024 19:03 282K
vectoroids-doc-1.1.0-r2.apk 25-Oct-2024 19:03 2350
vera++-1.3.0-r11.apk 12-Oct-2025 14:25 186K
vfd-configurations-0_git20230612-r0.apk 25-Oct-2024 19:03 25K
vice-3.9-r3.apk 09-Jan-2026 20:19 13M
vice-doc-3.9-r3.apk 09-Jan-2026 20:19 2M
victoria-logs-1.41.1-r1.apk 17-Jan-2026 22:43 5M
victoria-logs-openrc-1.41.1-r1.apk 17-Jan-2026 22:43 2422
victoria-logs-vlagent-1.41.1-r1.apk 17-Jan-2026 22:43 4M
victoria-logs-vlogscli-1.41.1-r1.apk 17-Jan-2026 22:43 3M
vidcutter-6.0.5.3-r0.apk 25-Oct-2024 19:03 3M
vidcutter-doc-6.0.5.3-r0.apk 25-Oct-2024 19:03 24K
vidcutter-pyc-6.0.5.3-r0.apk 25-Oct-2024 19:03 2M
video-trimmer-25.03-r0.apk 30-Jun-2025 13:45 372K
video-trimmer-lang-25.03-r0.apk 30-Jun-2025 13:45 93K
viewnior-1.8-r1.apk 25-Oct-2024 19:03 72K
viewnior-doc-1.8-r1.apk 25-Oct-2024 19:03 2150
viewnior-lang-1.8-r1.apk 25-Oct-2024 19:03 85K
vile-9.8z_p1-r2.apk 06-Oct-2025 11:28 780K
vile-common-9.8z_p1-r2.apk 06-Oct-2025 11:28 354K
vile-doc-9.8z_p1-r2.apk 06-Oct-2025 11:28 357K
vim-airline-0.11-r0.apk 25-Oct-2024 19:03 86K
vim-airline-doc-0.11-r0.apk 25-Oct-2024 19:03 12K
vim-rust-305-r1.apk 21-Aug-2025 07:55 20K
vimv-3.1.0-r0.apk 12-Oct-2025 21:21 250K
vimv-doc-3.1.0-r0.apk 12-Oct-2025 21:21 2046
virtctl-1.6.2-r2.apk 17-Jan-2026 22:43 16M
virtctl-bash-completion-1.6.2-r2.apk 17-Jan-2026 22:43 5245
virtctl-fish-completion-1.6.2-r2.apk 17-Jan-2026 22:43 4427
virtctl-zsh-completion-1.6.2-r2.apk 17-Jan-2026 22:43 4143
virter-0.29.0-r6.apk 17-Jan-2026 22:43 6M
virter-bash-completion-0.29.0-r6.apk 17-Jan-2026 22:43 6255
virter-doc-0.29.0-r6.apk 17-Jan-2026 22:43 15K
virter-fish-completion-0.29.0-r6.apk 17-Jan-2026 22:43 4427
virter-zsh-completion-0.29.0-r6.apk 17-Jan-2026 22:43 4148
virtualgl-3.1.4-r0.apk 09-Oct-2025 07:23 2M
virtualgl-dev-3.1.4-r0.apk 09-Oct-2025 07:23 6267
virtualgl-doc-3.1.4-r0.apk 09-Oct-2025 07:23 315K
visidata-3.3-r0.apk 15-Sep-2025 13:56 425K
visidata-doc-3.3-r0.apk 15-Sep-2025 13:56 18K
visidata-pyc-3.3-r0.apk 15-Sep-2025 13:56 846K
visidata-zsh-completion-3.3-r0.apk 15-Sep-2025 13:56 9659
vit-2.3.2-r1.apk 25-Oct-2024 19:03 80K
vit-pyc-2.3.2-r1.apk 25-Oct-2024 19:03 151K
vkbasalt-0.3.2.10-r0.apk 25-Oct-2024 19:03 404K
vkbasalt-doc-0.3.2.10-r0.apk 25-Oct-2024 19:03 3151
vlang-0.4.11-r0.apk 21-Jun-2025 13:57 30M
vmlinux.h-6.18-r0.apk 16-Dec-2025 22:34 248K
vmtouch-1.3.1-r0.apk 25-Oct-2024 19:03 12K
vmtouch-doc-1.3.1-r0.apk 25-Oct-2024 19:03 8230
voikko-fi-2.5-r0.apk 25-Oct-2024 19:03 2M
volatility3-2.26.2-r0.apk 21-Nov-2025 03:24 1M
volatility3-pyc-2.26.2-r0.apk 21-Nov-2025 03:24 1M
volumeicon-0.5.1-r1.apk 25-Oct-2024 19:03 43K
volumeicon-lang-0.5.1-r1.apk 25-Oct-2024 19:03 3852
vscodium-1.106.37943-r0.apk 21-Dec-2025 19:11 29M
vscodium-bash-completion-1.106.37943-r0.apk 21-Dec-2025 19:11 2240
vscodium-zsh-completion-1.106.37943-r0.apk 21-Dec-2025 19:11 2720
vym-2.9.26-r0.apk 25-Oct-2024 19:03 3M
vym-doc-2.9.26-r0.apk 25-Oct-2024 19:03 3M
w_scan2-1.0.17-r0.apk 11-Jun-2025 17:37 133K
w_scan2-doc-1.0.17-r0.apk 11-Jun-2025 17:37 4251
wabt-1.0.37-r0.apk 06-Apr-2025 21:41 4M
wabt-doc-1.0.37-r0.apk 06-Apr-2025 21:41 13K
waifu2x-converter-cpp-5.3.4-r9.apk 07-Jul-2025 07:43 12M
wakeonlan-0.42-r0.apk 25-Oct-2024 19:03 4612
wakeonlan-doc-0.42-r0.apk 25-Oct-2024 19:03 7695
walk-1.13.0-r9.apk 17-Jan-2026 22:43 3M
walk-doc-1.13.0-r9.apk 17-Jan-2026 22:43 2285
walk-sor-0_git20190920-r1.apk 25-Oct-2024 19:03 5600
walk-sor-doc-0_git20190920-r1.apk 25-Oct-2024 19:03 7957
warp-s3-1.4.0-r0.apk 22-Jan-2026 03:09 9M
warpinator-2.0.3-r0.apk 18-Jan-2026 19:14 225K
warpinator-lang-2.0.3-r0.apk 18-Jan-2026 19:14 273K
warpinator-nemo-2.0.3-r0.apk 18-Jan-2026 19:14 4698
warzone2100-4.5.5-r5.apk 20-Dec-2025 15:23 7M
warzone2100-data-4.5.5-r5.apk 20-Dec-2025 15:24 328M
warzone2100-doc-4.5.5-r5.apk 20-Dec-2025 15:24 2M
warzone2100-lang-4.5.5-r5.apk 20-Dec-2025 15:24 3M
wasmtime-39.0.1-r0.apk 08-Dec-2025 21:02 9M
wasmtime-dev-39.0.1-r0.apk 08-Dec-2025 21:02 114K
watchbind-0.2.1-r1.apk 25-Oct-2024 19:04 1M
watchbind-doc-0.2.1-r1.apk 25-Oct-2024 19:04 6725
watchdog-5.16-r2.apk 25-Oct-2024 19:04 42K
watchdog-doc-5.16-r2.apk 25-Oct-2024 19:04 14K
watershot-0.2.0-r0.apk 25-Oct-2024 19:04 2M
way-displays-1.15.0-r0.apk 30-Sep-2025 09:47 109K
way-displays-doc-1.15.0-r0.apk 30-Sep-2025 09:47 4660
way-secure-0.2.0-r0.apk 18-Apr-2025 22:14 196K
way-secure-doc-0.2.0-r0.apk 18-Apr-2025 22:14 3103
wayfarer-1.4.0-r0.apk 07-Nov-2025 17:28 63K
wayfire-0.9.0-r0.apk 27-Feb-2025 20:17 2M
wayfire-dev-0.9.0-r0.apk 27-Feb-2025 20:17 129K
wayfire-doc-0.9.0-r0.apk 27-Feb-2025 20:17 3724
wayfire-plugins-extra-0.9.0-r0.apk 27-Feb-2025 20:17 544K
waylevel-1.0.0-r1.apk 25-Oct-2024 19:04 303K
waynergy-0.0.17-r1.apk 12-Sep-2025 22:22 46K
wayprompt-0.1.2-r2.apk 24-May-2025 02:16 469K
wayprompt-doc-0.1.2-r2.apk 24-May-2025 02:16 6238
wayqt-0.3.0-r1.apk 21-Aug-2025 07:47 134K
wayqt-dev-0.3.0-r1.apk 21-Aug-2025 07:47 18K
wazero-1.9.0-r8.apk 17-Jan-2026 22:43 2M
wbg-1.3.0-r1.apk 01-Nov-2025 15:12 40K
wch-isp-0.4.1-r2.apk 25-Oct-2024 19:04 10K
wch-isp-doc-0.4.1-r2.apk 25-Oct-2024 19:04 2725
wch-isp-udev-rules-0.4.1-r2.apk 25-Oct-2024 19:04 1689
wcm-0.9.0-r0.apk 27-Feb-2025 20:17 366K
webhookd-1.20.2-r6.apk 17-Jan-2026 22:43 3M
webhookd-doc-1.20.2-r6.apk 17-Jan-2026 22:43 2311
webhookd-openrc-1.20.2-r6.apk 17-Jan-2026 22:43 2302
webtunnel-0.0.2-r7.apk 17-Jan-2026 22:43 4M
weggli-0.2.4-r1.apk 25-Oct-2024 19:04 823K
welle-cli-2.7-r0.apk 07-Apr-2025 19:40 305K
welle-io-2.7-r0.apk 07-Apr-2025 19:40 401K
welle-io-doc-2.7-r0.apk 07-Apr-2025 19:40 4074
wf-config-0.9.0-r0.apk 27-Feb-2025 20:17 106K
wf-config-dev-0.9.0-r0.apk 27-Feb-2025 20:17 16K
wf-shell-0.9.0-r0.apk 27-Feb-2025 20:17 6M
wf-shell-dev-0.9.0-r0.apk 27-Feb-2025 20:17 1691
wf-shell-doc-0.9.0-r0.apk 27-Feb-2025 20:17 3155
wgcf-2.2.29-r2.apk 17-Jan-2026 22:43 5M
wgcf-bash-completion-2.2.29-r2.apk 17-Jan-2026 22:43 6240
wgcf-fish-completion-2.2.29-r2.apk 17-Jan-2026 22:43 4419
wgcf-zsh-completion-2.2.29-r2.apk 17-Jan-2026 22:43 4140
whatsie-4.16.3-r0.apk 12-Jan-2025 13:59 15M
whatsie-doc-4.16.3-r0.apk 12-Jan-2025 13:59 2251
whipper-0.10.0-r5.apk 25-Oct-2024 19:04 113K
whipper-pyc-0.10.0-r5.apk 25-Oct-2024 19:04 185K
wifitui-0.10.0-r0.apk 26-Jan-2026 14:22 2M
wifitui-doc-0.10.0-r0.apk 26-Jan-2026 14:22 2298
wiimms-iso-tools-3.04a-r1.apk 25-Oct-2024 19:04 4M
wiimms-iso-tools-doc-3.04a-r1.apk 25-Oct-2024 19:04 65K
wiimms-szs-tools-2.26a-r0.apk 25-Oct-2024 19:04 5M
wiimms-szs-tools-doc-2.26a-r0.apk 25-Oct-2024 19:04 50K
wiki-tui-0.9.1-r0.apk 02-Nov-2025 18:10 2M
wiki-tui-doc-0.9.1-r0.apk 02-Nov-2025 18:10 4642
wine-mono-10.4.1-r0.apk 07-Jan-2026 00:06 81M
wine-staging-11.1-r0.apk 25-Jan-2026 18:46 131M
wine-staging-dev-11.1-r0.apk 25-Jan-2026 18:46 13M
wine-staging-doc-11.1-r0.apk 25-Jan-2026 18:46 43K
winetricks-20250102-r0.apk 05-Feb-2025 22:22 172K
winetricks-bash-completion-20250102-r0.apk 05-Feb-2025 22:22 8846
winetricks-doc-20250102-r0.apk 05-Feb-2025 22:22 3776
wiremapper-0.10.0-r0.apk 25-Oct-2024 19:04 22K
wiremix-0.7.0-r0.apk 22-Aug-2025 12:01 788K
wiremix-doc-0.7.0-r0.apk 22-Aug-2025 12:01 9626
witchery-0.0.3-r2.apk 25-Oct-2024 19:04 3276
wk-adblock-0.0.4-r5.apk 25-Oct-2024 19:04 166K
wk-adblock-doc-0.0.4-r5.apk 25-Oct-2024 19:04 2144
wl-clip-persist-0.5.0-r0.apk 26-Oct-2025 12:28 932K
wl-clipboard-x11-5-r3.apk 25-Oct-2024 19:04 3498
wl-clipboard-x11-doc-5-r3.apk 25-Oct-2024 19:04 3012
wl-gammarelay-0.1.3-r5.apk 17-Jan-2026 22:43 2M
wl-screenrec-0.1.7-r1.apk 27-Aug-2025 16:40 538K
wl-screenrec-bash-completion-0.1.7-r1.apk 27-Aug-2025 16:40 2475
wl-screenrec-doc-0.1.7-r1.apk 27-Aug-2025 16:40 9732
wl-screenrec-fish-completion-0.1.7-r1.apk 27-Aug-2025 16:40 3296
wl-screenrec-zsh-completion-0.1.7-r1.apk 27-Aug-2025 16:40 3741
wlavu-0_git20201101-r1.apk 25-Oct-2024 19:04 12K
wlclock-1.0.1-r0.apk 25-Oct-2024 19:04 17K
wlclock-doc-1.0.1-r0.apk 25-Oct-2024 19:04 3368
wlr-sunclock-1.2.1-r0.apk 01-Dec-2025 14:08 44K
wlroots0.17-0.17.4-r3.apk 13-Aug-2025 08:04 366K
wlroots0.17-dbg-0.17.4-r3.apk 13-Aug-2025 08:04 1M
wlroots0.17-dev-0.17.4-r3.apk 13-Aug-2025 08:04 77K
wlroots0.18-0.18.3-r0.apk 28-Nov-2025 23:54 378K
wlroots0.18-dbg-0.18.3-r0.apk 28-Nov-2025 23:54 2M
wlroots0.18-dev-0.18.3-r0.apk 28-Nov-2025 23:54 83K
wlroots0.18-static-0.18.3-r0.apk 28-Nov-2025 23:54 8M
wmctrl-1.07-r1.apk 25-Oct-2024 19:04 14K
wmctrl-doc-1.07-r1.apk 25-Oct-2024 19:04 5227
wmi-client-1.3.16-r5.apk 25-Oct-2024 19:04 2M
wok-3.0.0-r6.apk 25-Oct-2024 19:04 157K
wok-doc-3.0.0-r6.apk 25-Oct-2024 19:04 3753
wok-lang-3.0.0-r6.apk 25-Oct-2024 19:04 16K
wok-pyc-3.0.0-r6.apk 25-Oct-2024 19:04 119K
wol-0.7.1-r3.apk 25-Oct-2024 19:04 25K
wol-doc-0.7.1-r3.apk 25-Oct-2024 19:04 5654
wol-lang-0.7.1-r3.apk 25-Oct-2024 19:04 8353
wolfssh-1.4.17-r2.apk 03-Jan-2026 23:39 133K
wolfssh-dev-1.4.17-r2.apk 03-Jan-2026 23:39 171K
wpa_actiond-1.4-r7.apk 25-Oct-2024 19:04 10K
wpa_actiond-openrc-1.4-r7.apk 25-Oct-2024 19:04 2273
wput-0.6.2-r4.apk 25-Oct-2024 19:04 39K
wput-doc-0.6.2-r4.apk 25-Oct-2024 19:04 8444
wshowkeys-1.0-r0.apk 25-Oct-2024 19:04 15K
wsmancli-2.8.0-r0.apk 14-Jul-2025 14:34 18K
wsmancli-doc-2.8.0-r0.apk 14-Jul-2025 14:34 3754
wtfutil-0.43.0-r19.apk 17-Jan-2026 22:43 20M
x11docker-7.8.0-r0.apk 29-Jan-2026 15:33 122K
x11docker-doc-7.8.0-r0.apk 29-Jan-2026 15:33 10K
xa-2.4.1-r0.apk 25-Feb-2025 12:36 74K
xa-doc-2.4.1-r0.apk 25-Feb-2025 12:36 17K
xcape-1.2-r1.apk 14-May-2025 18:16 6733
xcape-doc-1.2-r1.apk 14-May-2025 18:16 3147
xcompmgr-1.1.10-r0.apk 28-Jun-2025 11:25 14K
xcompmgr-doc-1.1.10-r0.apk 28-Jun-2025 11:25 2661
xdg-desktop-portal-hyprland-1.3.11-r0.apk 14-Dec-2025 11:02 337K
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk 14-Dec-2025 11:02 2494
xdg-native-messaging-proxy-0.1.0-r0.apk 27-Nov-2025 14:22 21K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk 27-Nov-2025 14:22 1814
xdg-ninja-0.2.0.2-r0.apk 25-Oct-2024 19:04 70K
xed-3.8.4-r0.apk 23-Oct-2025 07:55 1M
xed-dev-3.8.4-r0.apk 23-Oct-2025 07:55 14K
xed-doc-3.8.4-r0.apk 23-Oct-2025 07:55 971K
xed-lang-3.8.4-r0.apk 23-Oct-2025 07:55 2M
xed-python-3.8.4-r0.apk 23-Oct-2025 07:55 24K
xemu-0.8.74-r0.apk 19-Jun-2025 00:46 4M
xendmail-0.4.4-r0.apk 06-Aug-2025 08:51 1M
xendmail-doc-0.4.4-r0.apk 06-Aug-2025 08:51 2596
xf86-video-vboxvideo-1.0.0-r1.apk 25-Oct-2024 19:04 17K
xf86-video-vboxvideo-doc-1.0.0-r1.apk 25-Oct-2024 19:04 3270
xfce4-hamster-plugin-1.17-r0.apk 25-Oct-2024 19:04 38K
xfce4-hamster-plugin-lang-1.17-r0.apk 25-Oct-2024 19:04 5187
xfce4-mixer-4.18.1-r2.apk 25-Oct-2024 19:04 87K
xfce4-mixer-doc-4.18.1-r2.apk 25-Oct-2024 19:04 2563
xfce4-mixer-lang-4.18.1-r2.apk 25-Oct-2024 19:04 59K
xfce4-panel-profiles-1.1.1-r1.apk 24-Nov-2025 12:53 58K
xfce4-panel-profiles-doc-1.1.1-r1.apk 24-Nov-2025 12:53 20K
xfce4-panel-profiles-lang-1.1.1-r1.apk 24-Nov-2025 12:53 53K
xfd-1.1.4-r0.apk 25-Oct-2024 19:04 14K
xfd-doc-1.1.4-r0.apk 25-Oct-2024 19:04 5047
xfsdump-3.2.0-r0.apk 30-Aug-2025 20:48 380K
xfsdump-doc-3.2.0-r0.apk 30-Aug-2025 20:48 42K
xgalaga-2.1.1.0-r1.apk 25-Oct-2024 19:04 311K
xgalaga-doc-2.1.1.0-r1.apk 25-Oct-2024 19:04 2614
xiccd-0.4.1-r0.apk 07-Jan-2026 00:06 13K
xiccd-doc-0.4.1-r0.apk 07-Jan-2026 00:06 3610
xkb-switch-1.8.5-r1.apk 14-May-2025 18:16 18K
xkb-switch-doc-1.8.5-r1.apk 14-May-2025 18:16 2162
xlhtml-0.5.1-r0.apk 25-Oct-2024 19:04 11K
xlhtml-doc-0.5.1-r0.apk 25-Oct-2024 19:04 2567
xload-1.1.4-r0.apk 25-Oct-2024 19:04 7120
xload-doc-1.1.4-r0.apk 25-Oct-2024 19:04 3283
xmag-1.0.8-r0.apk 25-Oct-2024 19:04 16K
xmag-doc-1.0.8-r0.apk 25-Oct-2024 19:04 4857
xml2rfc-3.28.1-r3.apk 18-Dec-2025 11:03 352K
xml2rfc-pyc-3.28.1-r3.apk 18-Dec-2025 11:03 408K
xmoto-0.6.3-r0.apk 03-Oct-2025 17:02 2M
xmoto-data-0.6.3-r0.apk 03-Oct-2025 17:02 37M
xmoto-doc-0.6.3-r0.apk 03-Oct-2025 17:02 6045
xmoto-lang-0.6.3-r0.apk 03-Oct-2025 17:02 532K
xmp-4.2.0-r0.apk 25-Oct-2024 19:04 22K
xmp-doc-4.2.0-r0.apk 25-Oct-2024 19:04 5447
xmpp-dns-0.2.4-r30.apk 17-Jan-2026 22:43 2M
xmppipe-0.16.0-r1.apk 25-Oct-2024 19:04 16K
xone-src-0.5.2-r0.apk 19-Jan-2026 15:49 58K
xonsh-0.19.9-r0.apk 31-Oct-2025 15:15 589K
xonsh-pyc-0.19.9-r0.apk 31-Oct-2025 15:15 1M
xosview-1.24-r0.apk 25-Oct-2024 19:04 133K
xosview-doc-1.24-r0.apk 25-Oct-2024 19:04 13K
xpar-0.7-r0.apk 27-Sep-2025 14:33 30K
xpar-doc-0.7-r0.apk 27-Sep-2025 14:33 4434
xsane-0.999-r2.apk 25-Oct-2024 19:04 1M
xsane-doc-0.999-r2.apk 25-Oct-2024 19:04 4413
xsane-lang-0.999-r2.apk 25-Oct-2024 19:04 440K
xsecurelock-1.9.0-r1.apk 25-Oct-2024 19:04 63K
xsecurelock-doc-1.9.0-r1.apk 25-Oct-2024 19:04 18K
xsoldier-1.8-r2.apk 25-Oct-2024 19:04 67K
xsoldier-doc-1.8-r2.apk 25-Oct-2024 19:04 2684
xtensor-0.27.0-r0.apk 25-Aug-2025 06:40 270K
xtl-0.8.1-r0.apk 27-Oct-2025 13:49 90K
xva-img-1.5-r0.apk 25-Oct-2024 19:04 17K
xvidtune-1.0.4-r0.apk 25-Oct-2024 19:04 17K
xvidtune-doc-1.0.4-r0.apk 25-Oct-2024 19:04 4274
xvile-9.8z_p1-r2.apk 06-Oct-2025 11:28 808K
xwayland-satellite-0.8-r0.apk 17-Jan-2026 22:43 1M
yaegi-0.16.1-r14.apk 17-Jan-2026 22:43 8M
yamkix-0.14.0-r0.apk 22-Dec-2025 19:55 17K
yamkix-pyc-0.14.0-r0.apk 22-Dec-2025 19:55 20K
yaml-language-server-1.19.2-r0.apk 09-Oct-2025 07:23 666K
yaml-language-server-doc-1.19.2-r0.apk 09-Oct-2025 07:23 2335
yamldiff-0.3.0-r4.apk 17-Jan-2026 22:43 2M
yamldiff-doc-0.3.0-r4.apk 17-Jan-2026 22:43 2303
yarn-berry-4.9.1-r0.apk 15-Apr-2025 22:37 1M
yaru-common-25.10.3-r0.apk 06-Jan-2026 05:46 1300
yaru-gtksourceview-25.10.3-r0.apk 06-Jan-2026 05:46 5109
yaru-icon-theme-25.10.3-r0.apk 06-Jan-2026 05:46 35M
yaru-icon-theme-bark-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-blue-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-magenta-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-mate-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-olive-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-prussiangreen-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-purple-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-red-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-sage-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-viridian-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-wartybrown-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-icon-theme-yellow-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-schemas-25.10.3-r0.apk 06-Jan-2026 05:46 1896
yaru-shell-25.10.3-r0.apk 06-Jan-2026 05:46 232K
yaru-sounds-25.10.3-r0.apk 06-Jan-2026 05:46 1M
yaru-theme-25.10.3-r0.apk 06-Jan-2026 05:46 840K
yaru-theme-bark-25.10.3-r0.apk 06-Jan-2026 05:46 763K
yaru-theme-blue-25.10.3-r0.apk 06-Jan-2026 05:46 766K
yaru-theme-hdpi-25.10.3-r0.apk 06-Jan-2026 05:46 73K
yaru-theme-magenta-25.10.3-r0.apk 06-Jan-2026 05:46 762K
yaru-theme-mate-25.10.3-r0.apk 06-Jan-2026 05:46 772K
yaru-theme-olive-25.10.3-r0.apk 06-Jan-2026 05:46 759K
yaru-theme-prussiangreen-25.10.3-r0.apk 06-Jan-2026 05:46 760K
yaru-theme-purple-25.10.3-r0.apk 06-Jan-2026 05:46 757K
yaru-theme-red-25.10.3-r0.apk 06-Jan-2026 05:46 760K
yaru-theme-sage-25.10.3-r0.apk 06-Jan-2026 05:46 763K
yaru-theme-viridian-25.10.3-r0.apk 06-Jan-2026 05:46 760K
yaru-theme-wartybrown-25.10.3-r0.apk 06-Jan-2026 05:46 764K
yaru-theme-yellow-25.10.3-r0.apk 06-Jan-2026 05:46 766K
yass-2.5.0-r0.apk 25-Oct-2024 19:04 14M
ydcv-0.7-r8.apk 25-Oct-2024 19:04 20K
ydcv-pyc-0.7-r8.apk 25-Oct-2024 19:04 11K
ydcv-zsh-completion-0.7-r8.apk 25-Oct-2024 19:04 2206
yices2-2.6.5-r0.apk 02-Mar-2025 23:05 2M
yices2-dev-2.6.5-r0.apk 02-Mar-2025 23:05 41K
yices2-libs-2.6.5-r0.apk 02-Mar-2025 23:05 840K
yoe-kiosk-browser-0_git20231118-r0.apk 25-Oct-2024 19:04 9256
yoshimi-2.3.3.3-r0.apk 13-Mar-2025 22:33 6M
yoshimi-doc-2.3.3.3-r0.apk 13-Mar-2025 22:33 5M
yosys-0.57-r0.apk 24-Oct-2025 21:50 24M
yosys-dev-0.57-r0.apk 24-Oct-2025 21:50 277K
youki-0.5.7-r0.apk 05-Jan-2026 20:12 2M
youki-dbg-0.5.7-r0.apk 05-Jan-2026 20:12 8M
ytmdl-2024.08.15.1-r1.apk 14-May-2025 18:16 50K
ytmdl-bash-completion-2024.08.15.1-r1.apk 14-May-2025 18:16 2324
ytmdl-pyc-2024.08.15.1-r1.apk 14-May-2025 18:16 78K
ytmdl-zsh-completion-2024.08.15.1-r1.apk 14-May-2025 18:16 2162
ytt-0.52.1-r3.apk 17-Jan-2026 22:43 5M
yubikey-agent-0.1.6-r18.apk 17-Jan-2026 22:43 2M
z-1.12-r0.apk 25-Oct-2024 19:04 4717
z-doc-1.12-r0.apk 25-Oct-2024 19:04 4007
zafiro-icon-theme-1.3-r0.apk 25-Oct-2024 19:04 19M
zapzap-6.0.1.8-r0.apk 13-Apr-2025 23:48 109K
zapzap-pyc-6.0.1.8-r0.apk 13-Apr-2025 23:48 126K
zarchive-0.1.2-r2.apk 25-Oct-2024 19:04 16K
zarchive-dev-0.1.2-r2.apk 25-Oct-2024 19:04 6924
zarchive-libs-0.1.2-r2.apk 25-Oct-2024 19:04 23K
zarf-0.32.1-r16.apk 17-Jan-2026 22:43 56M
zed-0.138.4-r5.apk 13-Mar-2025 23:56 33M
zelbar-1.2.0-r0.apk 24-Jan-2026 13:43 155K
zelbar-doc-1.2.0-r0.apk 24-Jan-2026 13:43 3416
zfs-src-2.4.0-r0.apk 19-Dec-2025 08:50 33M
zfsbootmenu-2.3.0-r1.apk 25-Oct-2024 19:04 128K
zfsbootmenu-doc-2.3.0-r1.apk 25-Oct-2024 19:04 16K
zile-2.6.2-r1.apk 25-Oct-2024 19:04 119K
zile-doc-2.6.2-r1.apk 25-Oct-2024 19:04 16K
zita-njbridge-0.4.8-r1.apk 25-Oct-2024 19:04 29K
zita-njbridge-doc-0.4.8-r1.apk 25-Oct-2024 19:04 5364
zita-resampler-1.11.2-r0.apk 14-Apr-2025 21:16 18K
zita-resampler-dev-1.11.2-r0.apk 14-Apr-2025 21:16 3334
zita-resampler-doc-1.11.2-r0.apk 14-Apr-2025 21:16 4138
zmx-0.2.0-r0.apk 01-Jan-2026 23:39 599K
zmx-doc-0.2.0-r0.apk 01-Jan-2026 23:39 2271
zot-2.1.8-r3.apk 17-Jan-2026 22:43 78M
zot-cli-2.1.8-r3.apk 17-Jan-2026 22:43 11M
zot-cli-bash-completion-2.1.8-r3.apk 17-Jan-2026 22:43 6240
zot-cli-fish-completion-2.1.8-r3.apk 17-Jan-2026 22:43 4414
zot-cli-zsh-completion-2.1.8-r3.apk 17-Jan-2026 22:43 4134
zot-doc-2.1.8-r3.apk 17-Jan-2026 22:43 9625
zot-exporter-2.1.8-r3.apk 17-Jan-2026 22:43 4M
zot-openrc-2.1.8-r3.apk 17-Jan-2026 22:43 2047
zpaq-7.15-r0.apk 09-Oct-2025 07:23 192K
zpaq-doc-7.15-r0.apk 09-Oct-2025 07:23 16K
zrepl-0.6.1-r17.apk 17-Jan-2026 22:43 7M
zrepl-bash-completion-0.6.1-r17.apk 17-Jan-2026 22:43 4574
zrepl-openrc-0.6.1-r17.apk 17-Jan-2026 22:43 1765
zrepl-zsh-completion-0.6.1-r17.apk 17-Jan-2026 22:43 1992
zsh-histdb-skim-0.8.6-r0.apk 25-Oct-2024 19:04 749K
zsh-manydots-magic-0_git20230607-r1.apk 25-Oct-2024 19:04 2936
zulip-desktop-5.12.2-r0.apk 21-Nov-2025 10:00 2M
zutty-0.16-r0.apk 12-Jan-2025 21:00 149K
zutty-doc-0.16-r0.apk 12-Jan-2025 21:00 67K
zvbi-0.2.44-r0.apk 12-Mar-2025 01:07 170K
zvbi-doc-0.2.44-r0.apk 12-Mar-2025 01:07 21K
zycore-1.5.0-r1.apk 11-Jul-2025 04:50 21K
zycore-dev-1.5.0-r1.apk 11-Jul-2025 04:50 37K
zycore-doc-1.5.0-r1.apk 11-Jul-2025 04:50 433K
zydis-4.1.0-r0.apk 25-Oct-2024 19:04 206K
zydis-dev-4.1.0-r0.apk 25-Oct-2024 19:04 61K
zydis-doc-4.1.0-r0.apk 25-Oct-2024 19:04 2M